DBA Data[Home] [Help]

APPS.GMI_QUANTITY_WRP dependencies on UTL_FILE

Line 82: | trans_rec and also stored in UTL_FILE. |

78: | |
79: | HISTORY |
80: | 01/Nov/2001 K.RajaSekhar Bug 1962677 The field journal_comment is |
81: | got from the flat file and assigned to |
82: | trans_rec and also stored in UTL_FILE. |
83: | 16/Apr/2002 Sastry BUG#1492002 Changed the date format to |
84: | include the time stamp. Also added code to |
85: | write a message into the log file if the |
86: | user does not pass the date in a valid date|

Line 123: l_outfile_handle UTL_FILE.FILE_TYPE;

119: l_data VARCHAR2(2000);
120: trans_rec GMIGAPI.qty_rec_typ;
121: l_p_dir VARCHAR2(50);
122: l_output_file VARCHAR2(20);
123: l_outfile_handle UTL_FILE.FILE_TYPE;
124: l_input_file VARCHAR2(20);
125: l_infile_handle UTL_FILE.FILE_TYPE;
126: l_line VARCHAR2(4000); --BUG#3054841 Increased the size from 200 to 4000.
127: l_delimiter VARCHAR(1);

Line 125: l_infile_handle UTL_FILE.FILE_TYPE;

121: l_p_dir VARCHAR2(50);
122: l_output_file VARCHAR2(20);
123: l_outfile_handle UTL_FILE.FILE_TYPE;
124: l_input_file VARCHAR2(20);
125: l_infile_handle UTL_FILE.FILE_TYPE;
126: l_line VARCHAR2(4000); --BUG#3054841 Increased the size from 200 to 4000.
127: l_delimiter VARCHAR(1);
128: l_log_dir VARCHAR2(50);
129: l_log_name VARCHAR2(20) :='wrapper';

Line 130: l_log_handle UTL_FILE.FILE_TYPE;

126: l_line VARCHAR2(4000); --BUG#3054841 Increased the size from 200 to 4000.
127: l_delimiter VARCHAR(1);
128: l_log_dir VARCHAR2(50);
129: l_log_name VARCHAR2(20) :='wrapper';
130: l_log_handle UTL_FILE.FILE_TYPE;
131: l_global_file VARCHAR2(20);
132:
133: l_session_id VARCHAR2(10);
134:

Line 169: l_log_handle :=UTL_FILE.FOPEN(l_log_dir, l_log_name, 'w');

165: /*
166: Open The Wrapper File For Output And The Input File for Input.
167: */
168: /* dbms_output.put_line(l_log_name||' '||l_input_file||' '||l_log_dir||' '||l_p_dir); */
169: l_log_handle :=UTL_FILE.FOPEN(l_log_dir, l_log_name, 'w');
170: l_infile_handle :=UTL_FILE.FOPEN(l_p_dir, l_input_file, 'r');
171:
172: /*
173: Loop thru flat file and call Inventory Quantities API

Line 170: l_infile_handle :=UTL_FILE.FOPEN(l_p_dir, l_input_file, 'r');

166: Open The Wrapper File For Output And The Input File for Input.
167: */
168: /* dbms_output.put_line(l_log_name||' '||l_input_file||' '||l_log_dir||' '||l_p_dir); */
169: l_log_handle :=UTL_FILE.FOPEN(l_log_dir, l_log_name, 'w');
170: l_infile_handle :=UTL_FILE.FOPEN(l_p_dir, l_input_file, 'r');
171:
172: /*
173: Loop thru flat file and call Inventory Quantities API
174: */

Line 177: UTL_FILE.PUT_LINE(l_log_handle, 'Process Started at '

173: Loop thru flat file and call Inventory Quantities API
174: */
175:
176: /* dbms_output.put_line('Start Processing'); */
177: UTL_FILE.PUT_LINE(l_log_handle, 'Process Started at '
178: || to_char(SYSDATE,'DD-MON-YY HH:MI:SS'));
179:
180: UTL_FILE.NEW_LINE(l_log_handle);
181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );

Line 180: UTL_FILE.NEW_LINE(l_log_handle);

176: /* dbms_output.put_line('Start Processing'); */
177: UTL_FILE.PUT_LINE(l_log_handle, 'Process Started at '
178: || to_char(SYSDATE,'DD-MON-YY HH:MI:SS'));
179:
180: UTL_FILE.NEW_LINE(l_log_handle);
181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );
182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );
183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );
184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );

Line 181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );

177: UTL_FILE.PUT_LINE(l_log_handle, 'Process Started at '
178: || to_char(SYSDATE,'DD-MON-YY HH:MI:SS'));
179:
180: UTL_FILE.NEW_LINE(l_log_handle);
181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );
182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );
183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );
184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );
185:

Line 182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );

178: || to_char(SYSDATE,'DD-MON-YY HH:MI:SS'));
179:
180: UTL_FILE.NEW_LINE(l_log_handle);
181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );
182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );
183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );
184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );
185:
186: l_outfile_handle :=UTL_FILE.FOPEN(l_p_dir, l_output_file, 'w');

Line 183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );

179:
180: UTL_FILE.NEW_LINE(l_log_handle);
181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );
182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );
183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );
184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );
185:
186: l_outfile_handle :=UTL_FILE.FOPEN(l_p_dir, l_output_file, 'w');
187: /* dbms_output.put_line('Opened Log file: '||l_p_dir||l_output_file); */

Line 184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );

180: UTL_FILE.NEW_LINE(l_log_handle);
181: UTL_FILE.PUT_LINE(l_log_handle, 'Input Directory ' || l_p_dir );
182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );
183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );
184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );
185:
186: l_outfile_handle :=UTL_FILE.FOPEN(l_p_dir, l_output_file, 'w');
187: /* dbms_output.put_line('Opened Log file: '||l_p_dir||l_output_file); */
188:

Line 186: l_outfile_handle :=UTL_FILE.FOPEN(l_p_dir, l_output_file, 'w');

182: UTL_FILE.PUT_LINE(l_log_handle, 'Input File ' || l_input_file );
183: UTL_FILE.PUT_LINE(l_log_handle, 'Record Type ' || l_delimiter );
184: UTL_FILE.PUT_LINE(l_log_handle, 'Output File ' || l_output_file );
185:
186: l_outfile_handle :=UTL_FILE.FOPEN(l_p_dir, l_output_file, 'w');
187: /* dbms_output.put_line('Opened Log file: '||l_p_dir||l_output_file); */
188:
189: LOOP
190: l_record_count :=l_record_count+1;

Line 193: UTL_FILE.GET_LINE(l_infile_handle, l_line);

189: LOOP
190: l_record_count :=l_record_count+1;
191:
192: BEGIN
193: UTL_FILE.GET_LINE(l_infile_handle, l_line);
194: /* dbms_output.put_line('LINE IS ' ||l_line); */
195: EXCEPTION
196: WHEN NO_DATA_FOUND THEN
197: EXIT;

Line 202: UTL_FILE.NEW_LINE(l_log_handle);

198: END;
199: -- BEGIN BUG#1492002 Sastry
200: l_return_status := FND_API.G_RET_STS_SUCCESS;
201: -- END BUG#1492002
202: UTL_FILE.NEW_LINE(l_log_handle);
203: UTL_FILE.PUT_LINE(l_log_handle, 'Reading Record ' || l_record_count );
204: trans_rec.trans_type :=TO_NUMBER(Get_Field(l_line,l_delimiter,1));
205: trans_rec.item_no :=Get_Field(l_line,l_delimiter,2);
206: trans_rec.journal_no :=Get_Field(l_line,l_delimiter,3);

Line 203: UTL_FILE.PUT_LINE(l_log_handle, 'Reading Record ' || l_record_count );

199: -- BEGIN BUG#1492002 Sastry
200: l_return_status := FND_API.G_RET_STS_SUCCESS;
201: -- END BUG#1492002
202: UTL_FILE.NEW_LINE(l_log_handle);
203: UTL_FILE.PUT_LINE(l_log_handle, 'Reading Record ' || l_record_count );
204: trans_rec.trans_type :=TO_NUMBER(Get_Field(l_line,l_delimiter,1));
205: trans_rec.item_no :=Get_Field(l_line,l_delimiter,2);
206: trans_rec.journal_no :=Get_Field(l_line,l_delimiter,3);
207: trans_rec.from_whse_code :=Get_Field(l_line,l_delimiter,4);

Line 244: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );

240: p_msg_index => l_loop_cnt,
241: p_data => l_data,
242: p_encoded => FND_API.G_FALSE,
243: p_msg_index_out => l_dummy_cnt);
244: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
245: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
246: UTL_FILE.NEW_LINE(l_outfile_handle);
247: l_data := CONCAT('ERROR: ', l_data);
248: UTL_FILE.PUT_LINE(l_log_handle, l_data);

Line 245: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);

241: p_data => l_data,
242: p_encoded => FND_API.G_FALSE,
243: p_msg_index_out => l_dummy_cnt);
244: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
245: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
246: UTL_FILE.NEW_LINE(l_outfile_handle);
247: l_data := CONCAT('ERROR: ', l_data);
248: UTL_FILE.PUT_LINE(l_log_handle, l_data);
249: l_return_status :='E';

Line 246: UTL_FILE.NEW_LINE(l_outfile_handle);

242: p_encoded => FND_API.G_FALSE,
243: p_msg_index_out => l_dummy_cnt);
244: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
245: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
246: UTL_FILE.NEW_LINE(l_outfile_handle);
247: l_data := CONCAT('ERROR: ', l_data);
248: UTL_FILE.PUT_LINE(l_log_handle, l_data);
249: l_return_status :='E';
250: END;

Line 248: UTL_FILE.PUT_LINE(l_log_handle, l_data);

244: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
245: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
246: UTL_FILE.NEW_LINE(l_outfile_handle);
247: l_data := CONCAT('ERROR: ', l_data);
248: UTL_FILE.PUT_LINE(l_log_handle, l_data);
249: l_return_status :='E';
250: END;
251: -- END BUG#1492002
252: END IF;

Line 298: UTL_FILE.PUT_LINE(l_log_handle,'trans type = '||trans_rec.trans_type);

294: trans_rec.acctg_unit_no :=Get_Field(l_line,l_delimiter,53);
295: trans_rec.acct_no :=Get_Field(l_line,l_delimiter,54);
296: trans_rec.move_entire_qty :=NVL(Get_Field(l_line,l_delimiter,55),'Y'); --BUG#2861715 Sastry
297:
298: UTL_FILE.PUT_LINE(l_log_handle,'trans type = '||trans_rec.trans_type);
299: UTL_FILE.PUT_LINE(l_log_handle,'item no = '||trans_rec.item_no);
300: UTL_FILE.PUT_LINE(l_log_handle,'journal no = '||trans_rec.journal_no);
301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||
302: trans_rec.from_whse_code);

Line 299: UTL_FILE.PUT_LINE(l_log_handle,'item no = '||trans_rec.item_no);

295: trans_rec.acct_no :=Get_Field(l_line,l_delimiter,54);
296: trans_rec.move_entire_qty :=NVL(Get_Field(l_line,l_delimiter,55),'Y'); --BUG#2861715 Sastry
297:
298: UTL_FILE.PUT_LINE(l_log_handle,'trans type = '||trans_rec.trans_type);
299: UTL_FILE.PUT_LINE(l_log_handle,'item no = '||trans_rec.item_no);
300: UTL_FILE.PUT_LINE(l_log_handle,'journal no = '||trans_rec.journal_no);
301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||
302: trans_rec.from_whse_code);
303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||

Line 300: UTL_FILE.PUT_LINE(l_log_handle,'journal no = '||trans_rec.journal_no);

296: trans_rec.move_entire_qty :=NVL(Get_Field(l_line,l_delimiter,55),'Y'); --BUG#2861715 Sastry
297:
298: UTL_FILE.PUT_LINE(l_log_handle,'trans type = '||trans_rec.trans_type);
299: UTL_FILE.PUT_LINE(l_log_handle,'item no = '||trans_rec.item_no);
300: UTL_FILE.PUT_LINE(l_log_handle,'journal no = '||trans_rec.journal_no);
301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||
302: trans_rec.from_whse_code);
303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||
304: trans_rec.to_whse_code);

Line 301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||

297:
298: UTL_FILE.PUT_LINE(l_log_handle,'trans type = '||trans_rec.trans_type);
299: UTL_FILE.PUT_LINE(l_log_handle,'item no = '||trans_rec.item_no);
300: UTL_FILE.PUT_LINE(l_log_handle,'journal no = '||trans_rec.journal_no);
301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||
302: trans_rec.from_whse_code);
303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||
304: trans_rec.to_whse_code);
305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);

Line 303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||

299: UTL_FILE.PUT_LINE(l_log_handle,'item no = '||trans_rec.item_no);
300: UTL_FILE.PUT_LINE(l_log_handle,'journal no = '||trans_rec.journal_no);
301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||
302: trans_rec.from_whse_code);
303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||
304: trans_rec.to_whse_code);
305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);
306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);
307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);

Line 305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);

301: UTL_FILE.PUT_LINE(l_log_handle,'from_whse_code = '||
302: trans_rec.from_whse_code);
303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||
304: trans_rec.to_whse_code);
305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);
306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);
307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);
308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);
309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||

Line 306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);

302: trans_rec.from_whse_code);
303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||
304: trans_rec.to_whse_code);
305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);
306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);
307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);
308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);
309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||
310: trans_rec.from_location);

Line 307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);

303: UTL_FILE.PUT_LINE(l_log_handle,'to_whse_code = '||
304: trans_rec.to_whse_code);
305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);
306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);
307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);
308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);
309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||
310: trans_rec.from_location);
311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||

Line 308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);

304: trans_rec.to_whse_code);
305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);
306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);
307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);
308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);
309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||
310: trans_rec.from_location);
311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||
312: trans_rec.to_location);

Line 309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||

305: UTL_FILE.PUT_LINE(l_log_handle,'item_um = '||trans_rec.item_um);
306: UTL_FILE.PUT_LINE(l_log_handle,'item_um2 = '||trans_rec.item_um2);
307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);
308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);
309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||
310: trans_rec.from_location);
311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||
312: trans_rec.to_location);
313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);

Line 311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||

307: UTL_FILE.PUT_LINE(l_log_handle,'lot no = '||trans_rec.lot_no);
308: UTL_FILE.PUT_LINE(l_log_handle,'sublot no = '||trans_rec.sublot_no);
309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||
310: trans_rec.from_location);
311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||
312: trans_rec.to_location);
313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);
314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);

Line 313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);

309: UTL_FILE.PUT_LINE(l_log_handle,'from_location = '||
310: trans_rec.from_location);
311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||
312: trans_rec.to_location);
313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);
314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);

Line 314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);

310: trans_rec.from_location);
311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||
312: trans_rec.to_location);
313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);
314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);

Line 315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);

311: UTL_FILE.PUT_LINE(l_log_handle,'to_location = '||
312: trans_rec.to_location);
313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);
314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);

Line 316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);

312: trans_rec.to_location);
313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);
314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);

Line 317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);

313: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty = '||trans_rec.trans_qty);
314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);
321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );

Line 318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);

314: UTL_FILE.PUT_LINE(l_log_handle,'trans_qty2 = '||trans_rec.trans_qty2);
315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);
321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );
322: --BEGIN BUG#1962677 K.RajaSekhar

Line 319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);

315: UTL_FILE.PUT_LINE(l_log_handle,'qc_grade = '||trans_rec.qc_grade);
316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);
321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );
322: --BEGIN BUG#1962677 K.RajaSekhar
323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);

Line 320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);

316: UTL_FILE.PUT_LINE(l_log_handle,'lot_status = '||trans_rec.lot_status);
317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);
321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );
322: --BEGIN BUG#1962677 K.RajaSekhar
323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);
324: --END BUG#1962677

Line 321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );

317: UTL_FILE.PUT_LINE(l_log_handle,'co code = '||trans_rec.co_code);
318: UTL_FILE.PUT_LINE(l_log_handle,'orgn code = '||trans_rec.orgn_code);
319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);
321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );
322: --BEGIN BUG#1962677 K.RajaSekhar
323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);
324: --END BUG#1962677
325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);

Line 323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);

319: UTL_FILE.PUT_LINE(l_log_handle,'trans_date = '||trans_rec.trans_date);
320: UTL_FILE.PUT_LINE(l_log_handle,'reason code = '||trans_rec.reason_code);
321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );
322: --BEGIN BUG#1962677 K.RajaSekhar
323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);
324: --END BUG#1962677
325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);
326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);

Line 325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);

321: UTL_FILE.PUT_LINE(l_log_handle,'user name = '||trans_rec.user_name );
322: --BEGIN BUG#1962677 K.RajaSekhar
323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);
324: --END BUG#1962677
325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);
326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);

Line 326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);

322: --BEGIN BUG#1962677 K.RajaSekhar
323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);
324: --END BUG#1962677
325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);
326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);

Line 327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);

323: UTL_FILE.PUT_LINE(l_log_handle,'journal comment= '||trans_rec.journal_comment);
324: --END BUG#1962677
325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);
326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);

Line 328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);

324: --END BUG#1962677
325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);
326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);

Line 329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);

325: UTL_FILE.PUT_LINE(l_log_handle,'acctg_unit_no = '||trans_rec.acctg_unit_no);
326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);

Line 330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);

326: UTL_FILE.PUT_LINE(l_log_handle,'acct_no = '||trans_rec.acct_no);
327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);

Line 331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);

327: UTL_FILE.PUT_LINE(l_log_handle,'attribute1 = '||trans_rec.attribute1);
328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);

Line 332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);

328: UTL_FILE.PUT_LINE(l_log_handle,'attribute2 = '||trans_rec.attribute2);
329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);

Line 333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);

329: UTL_FILE.PUT_LINE(l_log_handle,'attribute3 = '||trans_rec.attribute3);
330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);

Line 334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);

330: UTL_FILE.PUT_LINE(l_log_handle,'attribute4 = '||trans_rec.attribute4);
331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);

Line 335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);

331: UTL_FILE.PUT_LINE(l_log_handle,'attribute5 = '||trans_rec.attribute5);
332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);

Line 336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);

332: UTL_FILE.PUT_LINE(l_log_handle,'attribute6 = '||trans_rec.attribute6);
333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);

Line 337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);

333: UTL_FILE.PUT_LINE(l_log_handle,'attribute7 = '||trans_rec.attribute7);
334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);

Line 338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);

334: UTL_FILE.PUT_LINE(l_log_handle,'attribute8 = '||trans_rec.attribute8);
335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);

Line 339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);

335: UTL_FILE.PUT_LINE(l_log_handle,'attribute9 = '||trans_rec.attribute9);
336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);

Line 340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);

336: UTL_FILE.PUT_LINE(l_log_handle,'attribute10 = '||trans_rec.attribute10);
337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);

Line 341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);

337: UTL_FILE.PUT_LINE(l_log_handle,'attribute11 = '||trans_rec.attribute11);
338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);

Line 342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);

338: UTL_FILE.PUT_LINE(l_log_handle,'attribute12 = '||trans_rec.attribute12);
339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);

Line 343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);

339: UTL_FILE.PUT_LINE(l_log_handle,'attribute13 = '||trans_rec.attribute13);
340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);

Line 344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);

340: UTL_FILE.PUT_LINE(l_log_handle,'attribute14 = '||trans_rec.attribute14);
341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);

Line 345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);

341: UTL_FILE.PUT_LINE(l_log_handle,'attribute15 = '||trans_rec.attribute15);
342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);

Line 346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);

342: UTL_FILE.PUT_LINE(l_log_handle,'attribute16 = '||trans_rec.attribute16);
343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);

Line 347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);

343: UTL_FILE.PUT_LINE(l_log_handle,'attribute17 = '||trans_rec.attribute17);
344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);

Line 348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);

344: UTL_FILE.PUT_LINE(l_log_handle,'attribute18 = '||trans_rec.attribute18);
345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);

Line 349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);

345: UTL_FILE.PUT_LINE(l_log_handle,'attribute19 = '||trans_rec.attribute19);
346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);

Line 350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);

346: UTL_FILE.PUT_LINE(l_log_handle,'attribute20 = '||trans_rec.attribute20);
347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);

Line 351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);

347: UTL_FILE.PUT_LINE(l_log_handle,'attribute21 = '||trans_rec.attribute21);
348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);

Line 352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);

348: UTL_FILE.PUT_LINE(l_log_handle,'attribute22 = '||trans_rec.attribute22);
349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);
356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);

Line 353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);

349: UTL_FILE.PUT_LINE(l_log_handle,'attribute23 = '||trans_rec.attribute23);
350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);
356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);
357: UTL_FILE.PUT_LINE(l_log_handle,'attribute_category = '||trans_rec.attribute_category);

Line 354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);

350: UTL_FILE.PUT_LINE(l_log_handle,'attribute24 = '||trans_rec.attribute24);
351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);
356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);
357: UTL_FILE.PUT_LINE(l_log_handle,'attribute_category = '||trans_rec.attribute_category);
358: -- BEGIN BUG#1492002 Sastry

Line 355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);

351: UTL_FILE.PUT_LINE(l_log_handle,'attribute25 = '||trans_rec.attribute25);
352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);
356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);
357: UTL_FILE.PUT_LINE(l_log_handle,'attribute_category = '||trans_rec.attribute_category);
358: -- BEGIN BUG#1492002 Sastry
359: -- Perform posting only if the return status is not an error.

Line 356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);

352: UTL_FILE.PUT_LINE(l_log_handle,'attribute26 = '||trans_rec.attribute26);
353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);
356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);
357: UTL_FILE.PUT_LINE(l_log_handle,'attribute_category = '||trans_rec.attribute_category);
358: -- BEGIN BUG#1492002 Sastry
359: -- Perform posting only if the return status is not an error.
360: IF l_return_status <> 'E' THEN

Line 357: UTL_FILE.PUT_LINE(l_log_handle,'attribute_category = '||trans_rec.attribute_category);

353: UTL_FILE.PUT_LINE(l_log_handle,'attribute27 = '||trans_rec.attribute27);
354: UTL_FILE.PUT_LINE(l_log_handle,'attribute28 = '||trans_rec.attribute28);
355: UTL_FILE.PUT_LINE(l_log_handle,'attribute29 = '||trans_rec.attribute29);
356: UTL_FILE.PUT_LINE(l_log_handle,'attribute30 = '||trans_rec.attribute30);
357: UTL_FILE.PUT_LINE(l_log_handle,'attribute_category = '||trans_rec.attribute_category);
358: -- BEGIN BUG#1492002 Sastry
359: -- Perform posting only if the return status is not an error.
360: IF l_return_status <> 'E' THEN
361: -- END BUG#1492002

Line 393: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );

389: p_encoded => FND_API.G_FALSE,
390: p_msg_index_out => l_dummy_cnt);
391:
392:
393: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
394: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
395: UTL_FILE.NEW_LINE(l_outfile_handle);
396:
397: IF l_status = 'E' OR

Line 394: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);

390: p_msg_index_out => l_dummy_cnt);
391:
392:
393: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
394: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
395: UTL_FILE.NEW_LINE(l_outfile_handle);
396:
397: IF l_status = 'E' OR
398: l_status = 'U'

Line 395: UTL_FILE.NEW_LINE(l_outfile_handle);

391:
392:
393: UTL_FILE.PUT_LINE(l_outfile_handle, 'Record = ' ||l_record_count );
394: UTL_FILE.PUT_LINE(l_outfile_handle, l_data);
395: UTL_FILE.NEW_LINE(l_outfile_handle);
396:
397: IF l_status = 'E' OR
398: l_status = 'U'
399: THEN

Line 403: UTL_FILE.PUT_LINE(l_log_handle, l_data);

399: THEN
400: l_data := CONCAT('ERROR ',l_data);
401: END IF;
402:
403: UTL_FILE.PUT_LINE(l_log_handle, l_data);
404:
405: /* Update error status */
406: IF (l_status = 'U')
407: THEN

Line 429: UTL_FILE.NEW_LINE(l_log_handle);

425: -- BEGIN BUG#1492002 Sastry
426: END IF;
427: -- END BUG#1492002
428: END LOOP;
429: UTL_FILE.NEW_LINE(l_log_handle);
430: UTL_FILE.PUT_LINE(l_log_handle, 'Process Completed at '
431: || to_char(SYSDATE,'DD-MON-YY HH:MI:SS'));
432: /*
433: Check if any messages generated. If so then decode and

Line 430: UTL_FILE.PUT_LINE(l_log_handle, 'Process Completed at '

426: END IF;
427: -- END BUG#1492002
428: END LOOP;
429: UTL_FILE.NEW_LINE(l_log_handle);
430: UTL_FILE.PUT_LINE(l_log_handle, 'Process Completed at '
431: || to_char(SYSDATE,'DD-MON-YY HH:MI:SS'));
432: /*
433: Check if any messages generated. If so then decode and
434: output to error message flat file

Line 437: UTL_FILE.FCLOSE_ALL;

433: Check if any messages generated. If so then decode and
434: output to error message flat file
435: */
436:
437: UTL_FILE.FCLOSE_ALL;
438:
439: RETURN l_return_status;
440:
441: EXCEPTION

Line 442: WHEN UTL_FILE.INVALID_OPERATION THEN

438:
439: RETURN l_return_status;
440:
441: EXCEPTION
442: WHEN UTL_FILE.INVALID_OPERATION THEN
443: UTL_FILE.FCLOSE_ALL;
444: RETURN l_return_status;
445:
446: WHEN UTL_FILE.INVALID_PATH THEN

Line 443: UTL_FILE.FCLOSE_ALL;

439: RETURN l_return_status;
440:
441: EXCEPTION
442: WHEN UTL_FILE.INVALID_OPERATION THEN
443: UTL_FILE.FCLOSE_ALL;
444: RETURN l_return_status;
445:
446: WHEN UTL_FILE.INVALID_PATH THEN
447: UTL_FILE.FCLOSE_ALL;

Line 446: WHEN UTL_FILE.INVALID_PATH THEN

442: WHEN UTL_FILE.INVALID_OPERATION THEN
443: UTL_FILE.FCLOSE_ALL;
444: RETURN l_return_status;
445:
446: WHEN UTL_FILE.INVALID_PATH THEN
447: UTL_FILE.FCLOSE_ALL;
448: RETURN l_return_status;
449:
450: WHEN UTL_FILE.INVALID_MODE THEN

Line 447: UTL_FILE.FCLOSE_ALL;

443: UTL_FILE.FCLOSE_ALL;
444: RETURN l_return_status;
445:
446: WHEN UTL_FILE.INVALID_PATH THEN
447: UTL_FILE.FCLOSE_ALL;
448: RETURN l_return_status;
449:
450: WHEN UTL_FILE.INVALID_MODE THEN
451: UTL_FILE.FCLOSE_ALL;

Line 450: WHEN UTL_FILE.INVALID_MODE THEN

446: WHEN UTL_FILE.INVALID_PATH THEN
447: UTL_FILE.FCLOSE_ALL;
448: RETURN l_return_status;
449:
450: WHEN UTL_FILE.INVALID_MODE THEN
451: UTL_FILE.FCLOSE_ALL;
452: RETURN l_return_status;
453:
454: WHEN UTL_FILE.INVALID_FILEHANDLE THEN

Line 451: UTL_FILE.FCLOSE_ALL;

447: UTL_FILE.FCLOSE_ALL;
448: RETURN l_return_status;
449:
450: WHEN UTL_FILE.INVALID_MODE THEN
451: UTL_FILE.FCLOSE_ALL;
452: RETURN l_return_status;
453:
454: WHEN UTL_FILE.INVALID_FILEHANDLE THEN
455: UTL_FILE.FCLOSE_ALL;

Line 454: WHEN UTL_FILE.INVALID_FILEHANDLE THEN

450: WHEN UTL_FILE.INVALID_MODE THEN
451: UTL_FILE.FCLOSE_ALL;
452: RETURN l_return_status;
453:
454: WHEN UTL_FILE.INVALID_FILEHANDLE THEN
455: UTL_FILE.FCLOSE_ALL;
456: RETURN l_return_status;
457:
458: WHEN UTL_FILE.WRITE_ERROR THEN

Line 455: UTL_FILE.FCLOSE_ALL;

451: UTL_FILE.FCLOSE_ALL;
452: RETURN l_return_status;
453:
454: WHEN UTL_FILE.INVALID_FILEHANDLE THEN
455: UTL_FILE.FCLOSE_ALL;
456: RETURN l_return_status;
457:
458: WHEN UTL_FILE.WRITE_ERROR THEN
459: UTL_FILE.FCLOSE_ALL;

Line 458: WHEN UTL_FILE.WRITE_ERROR THEN

454: WHEN UTL_FILE.INVALID_FILEHANDLE THEN
455: UTL_FILE.FCLOSE_ALL;
456: RETURN l_return_status;
457:
458: WHEN UTL_FILE.WRITE_ERROR THEN
459: UTL_FILE.FCLOSE_ALL;
460: RETURN l_return_status;
461:
462: WHEN UTL_FILE.READ_ERROR THEN

Line 459: UTL_FILE.FCLOSE_ALL;

455: UTL_FILE.FCLOSE_ALL;
456: RETURN l_return_status;
457:
458: WHEN UTL_FILE.WRITE_ERROR THEN
459: UTL_FILE.FCLOSE_ALL;
460: RETURN l_return_status;
461:
462: WHEN UTL_FILE.READ_ERROR THEN
463: UTL_FILE.FCLOSE_ALL;

Line 462: WHEN UTL_FILE.READ_ERROR THEN

458: WHEN UTL_FILE.WRITE_ERROR THEN
459: UTL_FILE.FCLOSE_ALL;
460: RETURN l_return_status;
461:
462: WHEN UTL_FILE.READ_ERROR THEN
463: UTL_FILE.FCLOSE_ALL;
464: RETURN l_return_status;
465:
466: WHEN UTL_FILE.INTERNAL_ERROR THEN

Line 463: UTL_FILE.FCLOSE_ALL;

459: UTL_FILE.FCLOSE_ALL;
460: RETURN l_return_status;
461:
462: WHEN UTL_FILE.READ_ERROR THEN
463: UTL_FILE.FCLOSE_ALL;
464: RETURN l_return_status;
465:
466: WHEN UTL_FILE.INTERNAL_ERROR THEN
467: UTL_FILE.FCLOSE_ALL;

Line 466: WHEN UTL_FILE.INTERNAL_ERROR THEN

462: WHEN UTL_FILE.READ_ERROR THEN
463: UTL_FILE.FCLOSE_ALL;
464: RETURN l_return_status;
465:
466: WHEN UTL_FILE.INTERNAL_ERROR THEN
467: UTL_FILE.FCLOSE_ALL;
468: RETURN l_return_status;
469:
470: WHEN OTHERS THEN

Line 467: UTL_FILE.FCLOSE_ALL;

463: UTL_FILE.FCLOSE_ALL;
464: RETURN l_return_status;
465:
466: WHEN UTL_FILE.INTERNAL_ERROR THEN
467: UTL_FILE.FCLOSE_ALL;
468: RETURN l_return_status;
469:
470: WHEN OTHERS THEN
471: UTL_FILE.FCLOSE_ALL;

Line 471: UTL_FILE.FCLOSE_ALL;

467: UTL_FILE.FCLOSE_ALL;
468: RETURN l_return_status;
469:
470: WHEN OTHERS THEN
471: UTL_FILE.FCLOSE_ALL;
472: RETURN l_return_status;
473:
474: END Post;
475: