DBA Data[Home] [Help]

APPS.ISC_DEPOT_BACKLOG_PKG dependencies on FND_GLOBAL

Line 180: 'SELECT '|| ISC_DEPOT_RPT_UTIL_PKG.get_viewby_select_clause (p_view_by_dim) || fnd_global.newline ||

176: IF (p_view_by_dim = 'ITEM+ENI_ITEM') THEN
177: l_description := ' v. description ';
178: END IF;
179: l_sel_clause :=
180: 'SELECT '|| ISC_DEPOT_RPT_UTIL_PKG.get_viewby_select_clause (p_view_by_dim) || fnd_global.newline ||
181: l_description || ' BIV_ATTRIBUTE1
182: ,BIV_MEASURE1
183: ,BIV_MEASURE11
184: ,BIV_MEASURE2

Line 205: , ' || l_drill_across_rep_1 || ' BIV_DYNAMIC_URL1 ' || fnd_global.newline ||

201: ,BIV_MEASURE31
202: ,BIV_MEASURE32
203: ,BIV_MEASURE33
204: ,BIV_MEASURE34
205: , ' || l_drill_across_rep_1 || ' BIV_DYNAMIC_URL1 ' || fnd_global.newline ||
206: ',(case when :LAST_REFRESH_DATE <= &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_2 || ' ELSE NULL END ) BIV_DYNAMIC_URL2 ' || fnd_global.newline ||
207: ',(case when :LAST_REFRESH_DATE = &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_3 || ' ELSE NULL END ) BIV_DYNAMIC_URL3 ' || fnd_global.newline ||
208: 'FROM ( SELECT
209: rank() over (&ORDER_BY_CLAUSE'||' nulls last, '||p_view_by_col||' ) - 1 rnk

Line 206: ',(case when :LAST_REFRESH_DATE <= &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_2 || ' ELSE NULL END ) BIV_DYNAMIC_URL2 ' || fnd_global.newline ||

202: ,BIV_MEASURE32
203: ,BIV_MEASURE33
204: ,BIV_MEASURE34
205: , ' || l_drill_across_rep_1 || ' BIV_DYNAMIC_URL1 ' || fnd_global.newline ||
206: ',(case when :LAST_REFRESH_DATE <= &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_2 || ' ELSE NULL END ) BIV_DYNAMIC_URL2 ' || fnd_global.newline ||
207: ',(case when :LAST_REFRESH_DATE = &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_3 || ' ELSE NULL END ) BIV_DYNAMIC_URL3 ' || fnd_global.newline ||
208: 'FROM ( SELECT
209: rank() over (&ORDER_BY_CLAUSE'||' nulls last, '||p_view_by_col||' ) - 1 rnk
210: ,'||p_view_by_col||'

Line 207: ',(case when :LAST_REFRESH_DATE = &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_3 || ' ELSE NULL END ) BIV_DYNAMIC_URL3 ' || fnd_global.newline ||

203: ,BIV_MEASURE33
204: ,BIV_MEASURE34
205: , ' || l_drill_across_rep_1 || ' BIV_DYNAMIC_URL1 ' || fnd_global.newline ||
206: ',(case when :LAST_REFRESH_DATE <= &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_2 || ' ELSE NULL END ) BIV_DYNAMIC_URL2 ' || fnd_global.newline ||
207: ',(case when :LAST_REFRESH_DATE = &BIS_CURRENT_ASOF_DATE THEN ' || l_drill_across_rep_3 || ' ELSE NULL END ) BIV_DYNAMIC_URL3 ' || fnd_global.newline ||
208: 'FROM ( SELECT
209: rank() over (&ORDER_BY_CLAUSE'||' nulls last, '||p_view_by_col||' ) - 1 rnk
210: ,'||p_view_by_col||'
211: ,BIV_MEASURE1

Line 233: ,BIV_MEASURE34 ' || fnd_global.newline ||

229: ,BIV_MEASURE30
230: ,BIV_MEASURE31
231: ,BIV_MEASURE32
232: ,BIV_MEASURE33
233: ,BIV_MEASURE34 ' || fnd_global.newline ||
234: ' FROM ( SELECT ' || fnd_global.newline ||
235: p_view_by_col || fnd_global.newline ||
236: ',' || 'NVL(c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||

Line 234: ' FROM ( SELECT ' || fnd_global.newline ||

230: ,BIV_MEASURE31
231: ,BIV_MEASURE32
232: ,BIV_MEASURE33
233: ,BIV_MEASURE34 ' || fnd_global.newline ||
234: ' FROM ( SELECT ' || fnd_global.newline ||
235: p_view_by_col || fnd_global.newline ||
236: ',' || 'NVL(c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
238: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'

Line 235: p_view_by_col || fnd_global.newline ||

231: ,BIV_MEASURE32
232: ,BIV_MEASURE33
233: ,BIV_MEASURE34 ' || fnd_global.newline ||
234: ' FROM ( SELECT ' || fnd_global.newline ||
235: p_view_by_col || fnd_global.newline ||
236: ',' || 'NVL(c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
238: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
239: ,prior_col => 'p_backlog'

Line 236: ',' || 'NVL(c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||

232: ,BIV_MEASURE33
233: ,BIV_MEASURE34 ' || fnd_global.newline ||
234: ' FROM ( SELECT ' || fnd_global.newline ||
235: p_view_by_col || fnd_global.newline ||
236: ',' || 'NVL(c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
238: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
239: ,prior_col => 'p_backlog'
240: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||

233: ,BIV_MEASURE34 ' || fnd_global.newline ||
234: ' FROM ( SELECT ' || fnd_global.newline ||
235: p_view_by_col || fnd_global.newline ||
236: ',' || 'NVL(c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
238: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
239: ,prior_col => 'p_backlog'
240: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
241: || 'BIV_MEASURE2' || fnd_global.newline ||

Line 241: || 'BIV_MEASURE2' || fnd_global.newline ||

237: ',' || 'NVL(p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
238: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
239: ,prior_col => 'p_backlog'
240: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
241: || 'BIV_MEASURE2' || fnd_global.newline ||
242: ',' || 'NVL(c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||
243: ',' || 'NVL(p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
244: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
245: ,prior_col => 'p_past_due'

Line 242: ',' || 'NVL(c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||

238: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
239: ,prior_col => 'p_backlog'
240: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
241: || 'BIV_MEASURE2' || fnd_global.newline ||
242: ',' || 'NVL(c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||
243: ',' || 'NVL(p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
244: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
245: ,prior_col => 'p_past_due'
246: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 243: ',' || 'NVL(p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||

239: ,prior_col => 'p_backlog'
240: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
241: || 'BIV_MEASURE2' || fnd_global.newline ||
242: ',' || 'NVL(c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||
243: ',' || 'NVL(p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
244: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
245: ,prior_col => 'p_past_due'
246: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
247: || 'BIV_MEASURE4' || fnd_global.newline ||

Line 247: || 'BIV_MEASURE4' || fnd_global.newline ||

243: ',' || 'NVL(p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
244: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
245: ,prior_col => 'p_past_due'
246: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
247: || 'BIV_MEASURE4' || fnd_global.newline ||
248: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
249: ,denominator => 'c_backlog'
250: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
251: || 'BIV_MEASURE5' || fnd_global.newline ||

Line 251: || 'BIV_MEASURE5' || fnd_global.newline ||

247: || 'BIV_MEASURE4' || fnd_global.newline ||
248: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
249: ,denominator => 'c_backlog'
250: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
251: || 'BIV_MEASURE5' || fnd_global.newline ||
252: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due'
253: ,denominator => 'p_backlog'
254: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
255: || 'BIV_MEASURE13' || fnd_global.newline ||

Line 255: || 'BIV_MEASURE13' || fnd_global.newline ||

251: || 'BIV_MEASURE5' || fnd_global.newline ||
252: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due'
253: ,denominator => 'p_backlog'
254: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
255: || 'BIV_MEASURE13' || fnd_global.newline ||
256: ',' || OPI_DBI_RPT_UTIL_PKG.change_pct_str(p_new_numerator => 'c_past_due',
257: p_new_denominator => 'c_backlog',
258: p_old_numerator => 'p_past_due',
259: p_old_denominator => 'p_backlog',

Line 261: || fnd_global.newline || fnd_global.newline ||

257: p_new_denominator => 'c_backlog',
258: p_old_numerator => 'p_past_due',
259: p_old_denominator => 'p_backlog',
260: p_measure_name => 'BIV_MEASURE6')
261: || fnd_global.newline || fnd_global.newline ||
262: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE21 ' || fnd_global.newline ||
263: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog_total'
264: ,prior_col => 'p_backlog_total'
265: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 262: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE21 ' || fnd_global.newline ||

258: p_old_numerator => 'p_past_due',
259: p_old_denominator => 'p_backlog',
260: p_measure_name => 'BIV_MEASURE6')
261: || fnd_global.newline || fnd_global.newline ||
262: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE21 ' || fnd_global.newline ||
263: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog_total'
264: ,prior_col => 'p_backlog_total'
265: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
266: || 'BIV_MEASURE22' || fnd_global.newline ||

Line 266: || 'BIV_MEASURE22' || fnd_global.newline ||

262: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE21 ' || fnd_global.newline ||
263: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog_total'
264: ,prior_col => 'p_backlog_total'
265: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
266: || 'BIV_MEASURE22' || fnd_global.newline ||
267: ',' || 'NVL(c_past_due_total,0) BIV_MEASURE23 ' || fnd_global.newline ||
268: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due_total'
269: ,prior_col => 'p_past_due_total'
270: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 267: ',' || 'NVL(c_past_due_total,0) BIV_MEASURE23 ' || fnd_global.newline ||

263: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog_total'
264: ,prior_col => 'p_backlog_total'
265: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
266: || 'BIV_MEASURE22' || fnd_global.newline ||
267: ',' || 'NVL(c_past_due_total,0) BIV_MEASURE23 ' || fnd_global.newline ||
268: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due_total'
269: ,prior_col => 'p_past_due_total'
270: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
271: || 'BIV_MEASURE24' || fnd_global.newline ||

Line 271: || 'BIV_MEASURE24' || fnd_global.newline ||

267: ',' || 'NVL(c_past_due_total,0) BIV_MEASURE23 ' || fnd_global.newline ||
268: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due_total'
269: ,prior_col => 'p_past_due_total'
270: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
271: || 'BIV_MEASURE24' || fnd_global.newline ||
272: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due_total'
273: ,denominator => 'c_backlog_total'
274: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
275: || 'BIV_MEASURE25' || fnd_global.newline ||

Line 275: || 'BIV_MEASURE25' || fnd_global.newline ||

271: || 'BIV_MEASURE24' || fnd_global.newline ||
272: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due_total'
273: ,denominator => 'c_backlog_total'
274: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
275: || 'BIV_MEASURE25' || fnd_global.newline ||
276: ',' || OPI_DBI_RPT_UTIL_PKG.change_pct_str(p_new_numerator => 'c_past_due_total',
277: p_new_denominator => 'c_backlog_total',
278: p_old_numerator => 'p_past_due_total',
279: p_old_denominator => 'p_backlog_total',

Line 281: || fnd_global.newline || fnd_global.newline ||

277: p_new_denominator => 'c_backlog_total',
278: p_old_numerator => 'p_past_due_total',
279: p_old_denominator => 'p_backlog_total',
280: p_measure_name => 'BIV_MEASURE26')
281: || fnd_global.newline || fnd_global.newline ||
282: ',' || 'NVL(c_backlog,0) BIV_MEASURE27 ' || fnd_global.newline ||
283: ',' || 'NVL(p_backlog,0) BIV_MEASURE28 ' || fnd_global.newline ||
284: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE29 ' || fnd_global.newline ||
285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||

Line 282: ',' || 'NVL(c_backlog,0) BIV_MEASURE27 ' || fnd_global.newline ||

278: p_old_numerator => 'p_past_due_total',
279: p_old_denominator => 'p_backlog_total',
280: p_measure_name => 'BIV_MEASURE26')
281: || fnd_global.newline || fnd_global.newline ||
282: ',' || 'NVL(c_backlog,0) BIV_MEASURE27 ' || fnd_global.newline ||
283: ',' || 'NVL(p_backlog,0) BIV_MEASURE28 ' || fnd_global.newline ||
284: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE29 ' || fnd_global.newline ||
285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||
286: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'

Line 283: ',' || 'NVL(p_backlog,0) BIV_MEASURE28 ' || fnd_global.newline ||

279: p_old_denominator => 'p_backlog_total',
280: p_measure_name => 'BIV_MEASURE26')
281: || fnd_global.newline || fnd_global.newline ||
282: ',' || 'NVL(c_backlog,0) BIV_MEASURE27 ' || fnd_global.newline ||
283: ',' || 'NVL(p_backlog,0) BIV_MEASURE28 ' || fnd_global.newline ||
284: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE29 ' || fnd_global.newline ||
285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||
286: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
287: ,denominator => 'c_backlog'

Line 284: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE29 ' || fnd_global.newline ||

280: p_measure_name => 'BIV_MEASURE26')
281: || fnd_global.newline || fnd_global.newline ||
282: ',' || 'NVL(c_backlog,0) BIV_MEASURE27 ' || fnd_global.newline ||
283: ',' || 'NVL(p_backlog,0) BIV_MEASURE28 ' || fnd_global.newline ||
284: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE29 ' || fnd_global.newline ||
285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||
286: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
287: ,denominator => 'c_backlog'
288: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent

Line 285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||

281: || fnd_global.newline || fnd_global.newline ||
282: ',' || 'NVL(c_backlog,0) BIV_MEASURE27 ' || fnd_global.newline ||
283: ',' || 'NVL(p_backlog,0) BIV_MEASURE28 ' || fnd_global.newline ||
284: ',' || 'NVL(c_backlog_total,0) BIV_MEASURE29 ' || fnd_global.newline ||
285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||
286: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
287: ,denominator => 'c_backlog'
288: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
289: || 'BIV_MEASURE31' || fnd_global.newline ||

Line 289: || 'BIV_MEASURE31' || fnd_global.newline ||

285: ',' || 'NVL(p_backlog_total,0) BIV_MEASURE30 ' || fnd_global.newline ||
286: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
287: ,denominator => 'c_backlog'
288: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
289: || 'BIV_MEASURE31' || fnd_global.newline ||
290: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due'
291: ,denominator => 'p_backlog'
292: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
293: || 'BIV_MEASURE32' || fnd_global.newline ||

Line 293: || 'BIV_MEASURE32' || fnd_global.newline ||

289: || 'BIV_MEASURE31' || fnd_global.newline ||
290: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due'
291: ,denominator => 'p_backlog'
292: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
293: || 'BIV_MEASURE32' || fnd_global.newline ||
294: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due_total'
295: ,denominator => 'c_backlog_total'
296: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
297: || 'BIV_MEASURE33' || fnd_global.newline ||

Line 297: || 'BIV_MEASURE33' || fnd_global.newline ||

293: || 'BIV_MEASURE32' || fnd_global.newline ||
294: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due_total'
295: ,denominator => 'c_backlog_total'
296: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
297: || 'BIV_MEASURE33' || fnd_global.newline ||
298: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due_total'
299: ,denominator => 'p_backlog_total'
300: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
301: || 'BIV_MEASURE34' || fnd_global.newline ;

Line 301: || 'BIV_MEASURE34' || fnd_global.newline ;

297: || 'BIV_MEASURE33' || fnd_global.newline ||
298: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due_total'
299: ,denominator => 'p_backlog_total'
300: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
301: || 'BIV_MEASURE34' || fnd_global.newline ;
302:
303: RETURN l_sel_clause;
304:
305: END GET_BACKLOG_TBL_SEL_CLAUSE;

Line 441: 'SELECT cal.name VIEWBY ' || fnd_global.newline ||

437: l_description := 'null';
438: l_drill_across_rep_1 := 'null' ;
439:
440: l_sel_clause :=
441: 'SELECT cal.name VIEWBY ' || fnd_global.newline ||
442: ',' || 'NVL(iset.c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
443: ',' || 'NVL(iset.p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
444: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
445: ,prior_col => 'p_backlog'

Line 442: ',' || 'NVL(iset.c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||

438: l_drill_across_rep_1 := 'null' ;
439:
440: l_sel_clause :=
441: 'SELECT cal.name VIEWBY ' || fnd_global.newline ||
442: ',' || 'NVL(iset.c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
443: ',' || 'NVL(iset.p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
444: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
445: ,prior_col => 'p_backlog'
446: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 443: ',' || 'NVL(iset.p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||

439:
440: l_sel_clause :=
441: 'SELECT cal.name VIEWBY ' || fnd_global.newline ||
442: ',' || 'NVL(iset.c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
443: ',' || 'NVL(iset.p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
444: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
445: ,prior_col => 'p_backlog'
446: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
447: || 'BIV_MEASURE2' || fnd_global.newline ||

Line 447: || 'BIV_MEASURE2' || fnd_global.newline ||

443: ',' || 'NVL(iset.p_backlog,0) BIV_MEASURE11 ' || fnd_global.newline ||
444: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
445: ,prior_col => 'p_backlog'
446: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
447: || 'BIV_MEASURE2' || fnd_global.newline ||
448: ',' || 'NVL(iset.c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||
449: ',' || 'NVL(iset.p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
450: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
451: ,prior_col => 'p_past_due'

Line 448: ',' || 'NVL(iset.c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||

444: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_backlog'
445: ,prior_col => 'p_backlog'
446: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
447: || 'BIV_MEASURE2' || fnd_global.newline ||
448: ',' || 'NVL(iset.c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||
449: ',' || 'NVL(iset.p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
450: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
451: ,prior_col => 'p_past_due'
452: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 449: ',' || 'NVL(iset.p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||

445: ,prior_col => 'p_backlog'
446: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
447: || 'BIV_MEASURE2' || fnd_global.newline ||
448: ',' || 'NVL(iset.c_past_due,0) BIV_MEASURE3 ' || fnd_global.newline ||
449: ',' || 'NVL(iset.p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
450: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
451: ,prior_col => 'p_past_due'
452: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
453: || 'BIV_MEASURE4' || fnd_global.newline ||

Line 453: || 'BIV_MEASURE4' || fnd_global.newline ||

449: ',' || 'NVL(iset.p_past_due,0) BIV_MEASURE12 ' || fnd_global.newline ||
450: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_past_due'
451: ,prior_col => 'p_past_due'
452: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
453: || 'BIV_MEASURE4' || fnd_global.newline ||
454: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
455: ,denominator => 'c_backlog'
456: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
457: || 'BIV_MEASURE5' || fnd_global.newline ||

Line 457: || 'BIV_MEASURE5' || fnd_global.newline ||

453: || 'BIV_MEASURE4' || fnd_global.newline ||
454: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'c_past_due'
455: ,denominator => 'c_backlog'
456: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
457: || 'BIV_MEASURE5' || fnd_global.newline ||
458: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due'
459: ,denominator => 'p_backlog'
460: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
461: || 'BIV_MEASURE13' || fnd_global.newline ||

Line 461: || 'BIV_MEASURE13' || fnd_global.newline ||

457: || 'BIV_MEASURE5' || fnd_global.newline ||
458: ',' || poa_dbi_util_pkg.rate_clause( numerator => 'p_past_due'
459: ,denominator => 'p_backlog'
460: ,rate_type => 'P') -- 'P' for Percent ; 'NP' for non percent
461: || 'BIV_MEASURE13' || fnd_global.newline ||
462: ',' || OPI_DBI_RPT_UTIL_PKG.change_pct_str(p_new_numerator => 'c_past_due',
463: p_new_denominator => 'c_backlog',
464: p_old_numerator => 'p_past_due',
465: p_old_denominator => 'p_backlog',

Line 467: || fnd_global.newline;

463: p_new_denominator => 'c_backlog',
464: p_old_numerator => 'p_past_due',
465: p_old_denominator => 'p_backlog',
466: p_measure_name => 'BIV_MEASURE6')
467: || fnd_global.newline;
468:
469:
470: RETURN l_sel_clause;
471:

Line 560: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||

556: ,BIV_DATE1
557: ,BIV_MEASURE2
558: ,BIV_MEASURE3
559: FROM (
560: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||

Line 561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||

557: ,BIV_MEASURE2
558: ,BIV_MEASURE3
559: FROM (
560: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||

Line 562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||

558: ,BIV_MEASURE3
559: FROM (
560: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||

Line 563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||

559: FROM (
560: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||

Line 564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||

560: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||

Line 565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||

561: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||

Line 566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||

562: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||

Line 567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||

563: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||

Line 568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||

564: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline

Line 569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||

565: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline

Line 570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||

566: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline
574: || l_mv

Line 571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||

567: ',' || ' fact.repair_line_id BIV_MEASURE2 ' || fnd_global.newline ||
568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline
574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline

Line 572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline

568: ',' || ' fact.master_organization_id BIV_MEASURE3 ' || fnd_global.newline ||
569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline
574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline

Line 573: || ' from ' || fnd_global.newline

569: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
570: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline
574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline

Line 575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline

571: ',' || ' incident_id BIV_ATTRIBUTE9 '|| fnd_global.newline ||
572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline
574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline

Line 576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline

572: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline
573: || ' from ' || fnd_global.newline
574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS

Line 577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline

573: || ' from ' || fnd_global.newline
574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline

Line 578: || ' FND_LOOKUPS FL, ' || fnd_global.newline

574: || l_mv
575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline

Line 579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline

575: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
576: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline

Line 581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline

577: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline

Line 582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline

578: || ' FND_LOOKUPS FL, ' || fnd_global.newline
579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline
586: || l_where_clause

Line 583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline

579: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline
586: || l_where_clause
587: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)

Line 584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline

580: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline
586: || l_where_clause
587: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)
588: ORDER BY rnk' || fnd_global.newline ;

Line 585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline

581: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
582: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
583: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline
586: || l_where_clause
587: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)
588: ORDER BY rnk' || fnd_global.newline ;
589:

Line 588: ORDER BY rnk' || fnd_global.newline ;

584: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
585: || ' AND mum.uom_code = fact.uom_code '|| fnd_global.newline
586: || l_where_clause
587: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)
588: ORDER BY rnk' || fnd_global.newline ;
589:
590: IF l_debug_mode = 'Y' and upper(l_module_name) like 'BIS%' THEN
591: l_err_stage:='The query is : ' || l_query;
592: ISC_DEPOT_RPT_UTIL_PKG.write('BIS_ISC_DEPOT_BACKLOG_DTL : ',l_err_stage,ISC_DEPOT_RPT_UTIL_PKG.C_DEBUG_LEVEL);

Line 665: ' SELECT range_name BIV_ATTRIBUTE1 ' || fnd_global.newline ||

661: ISC_DEPOT_RPT_UTIL_PKG.write('BIS_ISC_DEPOT_BACKLOG_DTL : ' ,l_err_stage,ISC_DEPOT_RPT_UTIL_PKG.C_DEBUG_LEVEL);
662: END IF;
663:
664: l_query :=
665: ' SELECT range_name BIV_ATTRIBUTE1 ' || fnd_global.newline ||
666: ' ,nvl(past_due_count,0) BIV_MEASURE1 ' || fnd_global.newline ||
667: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count'
668: ,denominator => 'past_due_count_total'
669: ,rate_type => 'P') || ' BIV_MEASURE2' || fnd_global.newline ||

Line 666: ' ,nvl(past_due_count,0) BIV_MEASURE1 ' || fnd_global.newline ||

662: END IF;
663:
664: l_query :=
665: ' SELECT range_name BIV_ATTRIBUTE1 ' || fnd_global.newline ||
666: ' ,nvl(past_due_count,0) BIV_MEASURE1 ' || fnd_global.newline ||
667: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count'
668: ,denominator => 'past_due_count_total'
669: ,rate_type => 'P') || ' BIV_MEASURE2' || fnd_global.newline ||
670: ' ,nvl(past_due_count_total,0) BIV_MEASURE21 ' || fnd_global.newline ||

Line 669: ,rate_type => 'P') || ' BIV_MEASURE2' || fnd_global.newline ||

665: ' SELECT range_name BIV_ATTRIBUTE1 ' || fnd_global.newline ||
666: ' ,nvl(past_due_count,0) BIV_MEASURE1 ' || fnd_global.newline ||
667: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count'
668: ,denominator => 'past_due_count_total'
669: ,rate_type => 'P') || ' BIV_MEASURE2' || fnd_global.newline ||
670: ' ,nvl(past_due_count_total,0) BIV_MEASURE21 ' || fnd_global.newline ||
671: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count_total'
672: ,denominator => 'past_due_count_total'
673: ,rate_type => 'P') || ' BIV_MEASURE22' || fnd_global.newline ||

Line 670: ' ,nvl(past_due_count_total,0) BIV_MEASURE21 ' || fnd_global.newline ||

666: ' ,nvl(past_due_count,0) BIV_MEASURE1 ' || fnd_global.newline ||
667: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count'
668: ,denominator => 'past_due_count_total'
669: ,rate_type => 'P') || ' BIV_MEASURE2' || fnd_global.newline ||
670: ' ,nvl(past_due_count_total,0) BIV_MEASURE21 ' || fnd_global.newline ||
671: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count_total'
672: ,denominator => 'past_due_count_total'
673: ,rate_type => 'P') || ' BIV_MEASURE22' || fnd_global.newline ||
674: ' ,' || '''pFunctionName=ISC_DEPOT_PAST_DUE_DTL_TBL_REP&pParamIds=Y&BIV_ATTRIBUTE1=-1&BIV_DR_BACKLOG_BUCKET+BIV_DR_BACKLOG_BUCKET=''|| bucket_number ||''''' || ' BIV_ATTRIBUTE10' ||

Line 673: ,rate_type => 'P') || ' BIV_MEASURE22' || fnd_global.newline ||

669: ,rate_type => 'P') || ' BIV_MEASURE2' || fnd_global.newline ||
670: ' ,nvl(past_due_count_total,0) BIV_MEASURE21 ' || fnd_global.newline ||
671: ' ,' || poa_dbi_util_pkg.rate_clause(numerator => 'past_due_count_total'
672: ,denominator => 'past_due_count_total'
673: ,rate_type => 'P') || ' BIV_MEASURE22' || fnd_global.newline ||
674: ' ,' || '''pFunctionName=ISC_DEPOT_PAST_DUE_DTL_TBL_REP&pParamIds=Y&BIV_ATTRIBUTE1=-1&BIV_DR_BACKLOG_BUCKET+BIV_DR_BACKLOG_BUCKET=''|| bucket_number ||''''' || ' BIV_ATTRIBUTE10' ||
675:
676: ' FROM (' || fnd_global.newline ||
677: ' SELECT range_name ' || fnd_global.newline ||

Line 676: ' FROM (' || fnd_global.newline ||

672: ,denominator => 'past_due_count_total'
673: ,rate_type => 'P') || ' BIV_MEASURE22' || fnd_global.newline ||
674: ' ,' || '''pFunctionName=ISC_DEPOT_PAST_DUE_DTL_TBL_REP&pParamIds=Y&BIV_ATTRIBUTE1=-1&BIV_DR_BACKLOG_BUCKET+BIV_DR_BACKLOG_BUCKET=''|| bucket_number ||''''' || ' BIV_ATTRIBUTE10' ||
675:
676: ' FROM (' || fnd_global.newline ||
677: ' SELECT range_name ' || fnd_global.newline ||
678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||

Line 677: ' SELECT range_name ' || fnd_global.newline ||

673: ,rate_type => 'P') || ' BIV_MEASURE22' || fnd_global.newline ||
674: ' ,' || '''pFunctionName=ISC_DEPOT_PAST_DUE_DTL_TBL_REP&pParamIds=Y&BIV_ATTRIBUTE1=-1&BIV_DR_BACKLOG_BUCKET+BIV_DR_BACKLOG_BUCKET=''|| bucket_number ||''''' || ' BIV_ATTRIBUTE10' ||
675:
676: ' FROM (' || fnd_global.newline ||
677: ' SELECT range_name ' || fnd_global.newline ||
678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||

Line 678: ' ,bucket_number ' || fnd_global.newline ||

674: ' ,' || '''pFunctionName=ISC_DEPOT_PAST_DUE_DTL_TBL_REP&pParamIds=Y&BIV_ATTRIBUTE1=-1&BIV_DR_BACKLOG_BUCKET+BIV_DR_BACKLOG_BUCKET=''|| bucket_number ||''''' || ' BIV_ATTRIBUTE10' ||
675:
676: ' FROM (' || fnd_global.newline ||
677: ' SELECT range_name ' || fnd_global.newline ||
678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||

Line 679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||

675:
676: ' FROM (' || fnd_global.newline ||
677: ' SELECT range_name ' || fnd_global.newline ||
678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||

Line 680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||

676: ' FROM (' || fnd_global.newline ||
677: ' SELECT range_name ' || fnd_global.newline ||
678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||

Line 681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||

677: ' SELECT range_name ' || fnd_global.newline ||
678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||

Line 682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||

678: ' ,bucket_number ' || fnd_global.newline ||
679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||

Line 683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||

679: ' ,sum (decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||

Line 684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||

680: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||

Line 685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||

681: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||

Line 686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||

682: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||

Line 687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||

683: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||

Line 688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||

684: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||

Line 689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||

685: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||

Line 690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||

686: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||

Line 691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||

687: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||

Line 692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||

688: ' ,10, past_due_age_b10 ) ) past_due_count ' || fnd_global.newline ||
689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||

Line 693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||

689: ' ,sum(sum(decode (buckets.bucket_number, 1, past_due_age_b1 ' || fnd_global.newline ||
690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||

Line 694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||

690: ' ,2, past_due_age_b2 ' || fnd_global.newline ||
691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||

Line 695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||

691: ' ,3, past_due_age_b3 ' || fnd_global.newline ||
692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||

Line 696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||

692: ' ,4, past_due_age_b4 ' || fnd_global.newline ||
693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||
700: l_mv || fnd_global.newline ||

Line 697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||

693: ' ,5, past_due_age_b5 ' || fnd_global.newline ||
694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||
700: l_mv || fnd_global.newline ||
701: ' ISC_DR_CURR_02_MV fact, ' || fnd_global.newline ||

Line 698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||

694: ' ,6, past_due_age_b6 ' || fnd_global.newline ||
695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||
700: l_mv || fnd_global.newline ||
701: ' ISC_DR_CURR_02_MV fact, ' || fnd_global.newline ||
702: ' (';

Line 699: ' from ' || fnd_global.newline ||

695: ' ,7, past_due_age_b7 ' || fnd_global.newline ||
696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||
700: l_mv || fnd_global.newline ||
701: ' ISC_DR_CURR_02_MV fact, ' || fnd_global.newline ||
702: ' (';
703:

Line 700: l_mv || fnd_global.newline ||

696: ' ,8, past_due_age_b8 ' || fnd_global.newline ||
697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||
700: l_mv || fnd_global.newline ||
701: ' ISC_DR_CURR_02_MV fact, ' || fnd_global.newline ||
702: ' (';
703:
704: FOR i in 1..10 LOOP

Line 701: ' ISC_DR_CURR_02_MV fact, ' || fnd_global.newline ||

697: ' ,9, past_due_age_b9 ' || fnd_global.newline ||
698: ' ,10, past_due_age_b10 ) ) ) over () past_due_count_total ' || fnd_global.newline ||
699: ' from ' || fnd_global.newline ||
700: l_mv || fnd_global.newline ||
701: ' ISC_DR_CURR_02_MV fact, ' || fnd_global.newline ||
702: ' (';
703:
704: FOR i in 1..10 LOOP
705: l_query := l_query ||

Line 706: 'SELECT '|| i || ' bucket_number, ' || fnd_global.newline ||

702: ' (';
703:
704: FOR i in 1..10 LOOP
705: l_query := l_query ||
706: 'SELECT '|| i || ' bucket_number, ' || fnd_global.newline ||
707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||
708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||

Line 707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||

703:
704: FOR i in 1..10 LOOP
705: l_query := l_query ||
706: 'SELECT '|| i || ' bucket_number, ' || fnd_global.newline ||
707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||
708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||

Line 708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||

704: FOR i in 1..10 LOOP
705: l_query := l_query ||
706: 'SELECT '|| i || ' bucket_number, ' || fnd_global.newline ||
707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||
708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||

Line 709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||

705: l_query := l_query ||
706: 'SELECT '|| i || ' bucket_number, ' || fnd_global.newline ||
707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||
708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||

Line 710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||

706: 'SELECT '|| i || ' bucket_number, ' || fnd_global.newline ||
707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||
708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||

Line 711: ' bis_bucket bb, ' || fnd_global.newline ||

707: ' bbct.range'|| i ||'_name range_name, ' || fnd_global.newline ||
708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||

Line 712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||

708: ' bbc.range' || i || '_low range_low, ' || fnd_global.newline ||
709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||

Line 713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||

709: ' bbc.range' || i || '_high range_high ' || fnd_global.newline ||
710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||
717: ' and bbC.id = bbct.id '|| fnd_global.newline;

Line 714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||

710: 'FROM bis_bucket_customizations bbc, ' || fnd_global.newline ||
711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||
717: ' and bbC.id = bbct.id '|| fnd_global.newline;
718: IF i <> 10 THEN

Line 715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||

711: ' bis_bucket bb, ' || fnd_global.newline ||
712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||
717: ' and bbC.id = bbct.id '|| fnd_global.newline;
718: IF i <> 10 THEN
719: l_query := l_query || 'UNION ALL ' || fnd_global.newline;

Line 716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||

712: ' bis_bucket_customizations_tl bbct ' || fnd_global.newline ||
713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||
717: ' and bbC.id = bbct.id '|| fnd_global.newline;
718: IF i <> 10 THEN
719: l_query := l_query || 'UNION ALL ' || fnd_global.newline;
720: ELSE

Line 717: ' and bbC.id = bbct.id '|| fnd_global.newline;

713: 'WHERE short_name = ''ISC_DEPOT_BKLG_CMP_AGING'' ' || fnd_global.newline ||
714: ' and bb.bucket_id = bbc.bucket_id ' || fnd_global.newline ||
715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||
717: ' and bbC.id = bbct.id '|| fnd_global.newline;
718: IF i <> 10 THEN
719: l_query := l_query || 'UNION ALL ' || fnd_global.newline;
720: ELSE
721: l_query := l_query || ') buckets ' || fnd_global.newline;

Line 719: l_query := l_query || 'UNION ALL ' || fnd_global.newline;

715: ' and nvl(bbc.range' || i || '_low,bbc.range' || i || '_high) is not null' || fnd_global.newline ||
716: ' and bbct.language =USERENV(''LANG'') ' || fnd_global.newline ||
717: ' and bbC.id = bbct.id '|| fnd_global.newline;
718: IF i <> 10 THEN
719: l_query := l_query || 'UNION ALL ' || fnd_global.newline;
720: ELSE
721: l_query := l_query || ') buckets ' || fnd_global.newline;
722: END IF;
723: END LOOP;

Line 721: l_query := l_query || ') buckets ' || fnd_global.newline;

717: ' and bbC.id = bbct.id '|| fnd_global.newline;
718: IF i <> 10 THEN
719: l_query := l_query || 'UNION ALL ' || fnd_global.newline;
720: ELSE
721: l_query := l_query || ') buckets ' || fnd_global.newline;
722: END IF;
723: END LOOP;
724:
725: IF (l_where_clause is NOT NULL ) THEN

Line 851: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||

847: ,BIV_MEASURE2
848: ,BIV_MEASURE3
849: ,BIV_MEASURE4
850: FROM (
851: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||

Line 852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||

848: ,BIV_MEASURE3
849: ,BIV_MEASURE4
850: FROM (
851: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||

Line 853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||

849: ,BIV_MEASURE4
850: FROM (
851: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||

Line 854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||

850: FROM (
851: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||

Line 855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||

851: SELECT repair_number BIV_ATTRIBUTE1 ' || fnd_global.newline ||
852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||

Line 856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||

852: ',' || ' incident_number BIV_ATTRIBUTE2 ' || fnd_global.newline ||
853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||

Line 857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||

853: ',' || ' crt.name BIV_ATTRIBUTE3 ' || fnd_global.newline ||
854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||

Line 858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||

854: ',' || ' eiov.value BIV_ATTRIBUTE4 ' || fnd_global.newline ||
855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||

Line 859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||

855: ',' || ' eiov.description BIV_ATTRIBUTE5 ' || fnd_global.newline ||
856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||

Line 860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||

856: ',' || ' fact.repair_line_id BIV_MEASURE3 ' || fnd_global.newline ||
857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline

Line 861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||

857: ',' || ' fact.master_organization_id BIV_MEASURE4 ' || fnd_global.newline ||
858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline

Line 862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||

858: ',' || ' mum.unit_of_measure BIV_ATTRIBUTE6 ' || fnd_global.newline ||
859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline

Line 863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||

859: ',' || ' quantity BIV_MEASURE1 ' || fnd_global.newline ||
860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline

Line 864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline

860: ',' || ' serial_number BIV_ATTRIBUTE7 ' || fnd_global.newline ||
861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline

Line 865: || ' from ' || fnd_global.newline

861: ',' || ' fl.meaning BIV_ATTRIBUTE8 ' || fnd_global.newline ||
862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline

Line 866: || l_mv || fnd_global.newline

862: ',' || ' incident_id BIV_ATTRIBUTE9 ' || fnd_global.newline ||
863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline

Line 867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline

863: ',' || ' promise_date BIV_DATE1 ' || fnd_global.newline ||
864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline

Line 868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline

864: ',' || ' PAST_DUE_DAYS BIV_MEASURE2 ' || fnd_global.newline
865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS

Line 869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline

865: || ' from ' || fnd_global.newline
866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline

Line 870: || ' FND_LOOKUPS FL, ' || fnd_global.newline

866: || l_mv || fnd_global.newline
867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline

Line 871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline

867: || ' ISC_DR_CURR_01_MV fact, ' || fnd_global.newline
868: || ' ENI_ITEM_V EIOV, ' || fnd_global.newline
869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline

Line 873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline

869: || ' CSD_FLOW_STATUSES_B CFSB, ' || fnd_global.newline
870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
876: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline

Line 874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline

870: || ' FND_LOOKUPS FL, ' || fnd_global.newline
871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
876: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline
878: || ' AND mum.uom_code = fact.uom_code '

Line 875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline

871: || ' MTL_UNITS_OF_MEASURE_VL MUM ' || fnd_global.newline
872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
876: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline
878: || ' AND mum.uom_code = fact.uom_code '
879: || l_where_clause

Line 876: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline

872: -- Mapped fact.flow_status_id to CFSB table which will be mapped to FND_LOOKUPS
873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
876: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline
878: || ' AND mum.uom_code = fact.uom_code '
879: || l_where_clause
880: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)

Line 877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline

873: || ' WHERE FL.LOOKUP_TYPE = ''CSD_REPAIR_FLOW_STATUS'' ' || fnd_global.newline
874: || ' AND CFSB.flow_status_id = fact.flow_status_id ' || fnd_global.newline
875: || ' AND FL.LOOKUP_CODE = CFSB.flow_status_code ' || fnd_global.newline
876: || ' AND FACT.item_org_id = eiov.id ' || fnd_global.newline
877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline
878: || ' AND mum.uom_code = fact.uom_code '
879: || l_where_clause
880: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)
881: ORDER BY rnk' || fnd_global.newline ;

Line 881: ORDER BY rnk' || fnd_global.newline ;

877: || ' AND FACT.past_due_flag = ''Y'' ' || fnd_global.newline
878: || ' AND mum.uom_code = fact.uom_code '
879: || l_where_clause
880: || ' ) ) where (rnk between &START_INDEX and &END_INDEX or &END_INDEX = -1)
881: ORDER BY rnk' || fnd_global.newline ;
882:
883: IF l_debug_mode = 'Y' and upper(l_module_name) like 'BIS%' THEN
884: l_err_stage:='The query is : ' || l_query;
885: ISC_DEPOT_RPT_UTIL_PKG.write('BIS_ISC_DEPOT_BACKLOG_DTL : ',l_err_stage,ISC_DEPOT_RPT_UTIL_PKG.C_DEBUG_LEVEL);

Line 973: l_mv := l_mv || '( SELECT ' || fnd_global.newline;

969: l_err_stage:='l_mv_type = ' || l_mv_type || 'l_where_clause = ' || l_where_clause;
970: ISC_DEPOT_RPT_UTIL_PKG.write('BIS_ISC_DEPOT_BACKLOG_TBL : ' ,l_err_stage,ISC_DEPOT_RPT_UTIL_PKG.C_DEBUG_LEVEL);
971: END IF;
972:
973: l_mv := l_mv || '( SELECT ' || fnd_global.newline;
974: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
975: l_mv := l_mv ||
976: ' EDH.PARENT_ID PRODUCT_CATEGORY_ID' || fnd_global.newline;
977: ELSIF l_mv_type = 'ROOT' THEN

Line 976: ' EDH.PARENT_ID PRODUCT_CATEGORY_ID' || fnd_global.newline;

972:
973: l_mv := l_mv || '( SELECT ' || fnd_global.newline;
974: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
975: l_mv := l_mv ||
976: ' EDH.PARENT_ID PRODUCT_CATEGORY_ID' || fnd_global.newline;
977: ELSIF l_mv_type = 'ROOT' THEN
978: l_mv := l_mv ||
979: ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
980: ELSE

Line 979: ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;

975: l_mv := l_mv ||
976: ' EDH.PARENT_ID PRODUCT_CATEGORY_ID' || fnd_global.newline;
977: ELSIF l_mv_type = 'ROOT' THEN
978: l_mv := l_mv ||
979: ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
980: ELSE
981: l_mv := l_mv ||
982: ' FACT.ITEM_ORG_ID' || fnd_global.newline ||
983: ' ,FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;

Line 982: ' FACT.ITEM_ORG_ID' || fnd_global.newline ||

978: l_mv := l_mv ||
979: ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
980: ELSE
981: l_mv := l_mv ||
982: ' FACT.ITEM_ORG_ID' || fnd_global.newline ||
983: ' ,FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
984: END IF;
985: l_mv := l_mv ||
986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||

Line 983: ' ,FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;

979: ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
980: ELSE
981: l_mv := l_mv ||
982: ' FACT.ITEM_ORG_ID' || fnd_global.newline ||
983: ' ,FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
984: END IF;
985: l_mv := l_mv ||
986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||

Line 986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||

982: ' FACT.ITEM_ORG_ID' || fnd_global.newline ||
983: ' ,FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
984: END IF;
985: l_mv := l_mv ||
986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||

Line 987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||

983: ' ,FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline;
984: END IF;
985: l_mv := l_mv ||
986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||

Line 988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||

984: END IF;
985: l_mv := l_mv ||
986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||

Line 989: ' ,backlog_count c_backlog' || fnd_global.newline ||

985: l_mv := l_mv ||
986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||

Line 990: ' ,0 p_backlog' || fnd_global.newline ||

986: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||

Line 991: ' ,not_promised_count not_promised ' || fnd_global.newline ||

987: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||

Line 992: ' ,past_due_count past_due' || fnd_global.newline ||

988: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||

Line 993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||

989: ' ,backlog_count c_backlog' || fnd_global.newline ||
990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||

Line 994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||

990: ' ,0 p_backlog' || fnd_global.newline ||
991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||

Line 995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||

991: ' ,not_promised_count not_promised ' || fnd_global.newline ||
992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||

Line 996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||

992: ' ,past_due_count past_due' || fnd_global.newline ||
993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||

Line 997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||

993: ' ,days_until_promised_b1 days_until_promised_b1' || fnd_global.newline ||
994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||

Line 998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||

994: ' ,days_until_promised_b2 days_until_promised_b2' || fnd_global.newline ||
995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||

Line 999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||

995: ' ,days_until_promised_b3 days_until_promised_b3' || fnd_global.newline ||
996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;

Line 1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||

996: ' ,days_until_promised_b4 days_until_promised_b4' || fnd_global.newline ||
997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN

Line 1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||

997: ' ,days_until_promised_b5 days_until_promised_b5' || fnd_global.newline ||
998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||

Line 1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||

998: ' ,days_until_promised_b6 days_until_promised_b6' || fnd_global.newline ||
999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||

Line 1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;

999: ' ,days_until_promised_b7 days_until_promised_b7' || fnd_global.newline ||
1000: ' ,days_until_promised_b8 days_until_promised_b8' || fnd_global.newline ||
1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||

Line 1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||

1001: ' ,days_until_promised_b9 days_until_promised_b9' || fnd_global.newline ||
1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||

Line 1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||

1002: ' ,days_until_promised_b10 days_until_promised_b10' || fnd_global.newline ||
1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||

Line 1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||

1003: ' FROM ISC_DR_CURR_02_MV fact' || fnd_global.newline;
1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||
1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||

Line 1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||

1004: IF ( l_mv_type = 'ROOT' AND l_view_by = 'ITEM+ENI_ITEM_VBH_CAT' ) THEN
1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||
1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||
1012: ' AND MDCS.FUNCTIONAL_AREA_ID = 11' || fnd_global.newline;

Line 1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||

1005: l_mv := l_mv || ' ,ENI_DENORM_HIERARCHIES EDH,MTL_DEFAULT_CATEGORY_SETS MDCS ' || fnd_global.newline ||
1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||
1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||
1012: ' AND MDCS.FUNCTIONAL_AREA_ID = 11' || fnd_global.newline;
1013: ELSE

Line 1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||

1006: ' WHERE FACT.PRODUCT_CATEGORY_ID = EDH.CHILD_ID ' || fnd_global.newline ||
1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||
1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||
1012: ' AND MDCS.FUNCTIONAL_AREA_ID = 11' || fnd_global.newline;
1013: ELSE
1014: l_mv := l_mv || ' WHERE fact.aggregation_flag = :aggregation_flag_1';

Line 1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||

1007: ' AND EDH.TOP_NODE_FLAG = ''Y'' ' || fnd_global.newline ||
1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||
1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||
1012: ' AND MDCS.FUNCTIONAL_AREA_ID = 11' || fnd_global.newline;
1013: ELSE
1014: l_mv := l_mv || ' WHERE fact.aggregation_flag = :aggregation_flag_1';
1015: END IF;

Line 1012: ' AND MDCS.FUNCTIONAL_AREA_ID = 11' || fnd_global.newline;

1008: ' AND EDH.OBJECT_TYPE = ''CATEGORY_SET'' ' || fnd_global.newline ||
1009: ' AND EDH.OBJECT_ID = MDCS.CATEGORY_SET_ID ' || fnd_global.newline ||
1010: ' AND fact.aggregation_flag = :aggregation_flag_1' || fnd_global.newline ||
1011: ' AND EDH.DBI_FLAG = ''Y'' ' || fnd_global.newline ||
1012: ' AND MDCS.FUNCTIONAL_AREA_ID = 11' || fnd_global.newline;
1013: ELSE
1014: l_mv := l_mv || ' WHERE fact.aggregation_flag = :aggregation_flag_1';
1015: END IF;
1016:

Line 1017: l_mv := l_mv || ' UNION ALL' || fnd_global.newline ||

1013: ELSE
1014: l_mv := l_mv || ' WHERE fact.aggregation_flag = :aggregation_flag_1';
1015: END IF;
1016:
1017: l_mv := l_mv || ' UNION ALL' || fnd_global.newline ||
1018: ' SELECT ' || fnd_global.newline;
1019:
1020: IF l_mv_type <> 'ROOT' THEN
1021: l_mv := l_mv || ' FACT.ITEM_ORG_ID, ' || fnd_global.newline;

Line 1018: ' SELECT ' || fnd_global.newline;

1014: l_mv := l_mv || ' WHERE fact.aggregation_flag = :aggregation_flag_1';
1015: END IF;
1016:
1017: l_mv := l_mv || ' UNION ALL' || fnd_global.newline ||
1018: ' SELECT ' || fnd_global.newline;
1019:
1020: IF l_mv_type <> 'ROOT' THEN
1021: l_mv := l_mv || ' FACT.ITEM_ORG_ID, ' || fnd_global.newline;
1022: END IF;

Line 1021: l_mv := l_mv || ' FACT.ITEM_ORG_ID, ' || fnd_global.newline;

1017: l_mv := l_mv || ' UNION ALL' || fnd_global.newline ||
1018: ' SELECT ' || fnd_global.newline;
1019:
1020: IF l_mv_type <> 'ROOT' THEN
1021: l_mv := l_mv || ' FACT.ITEM_ORG_ID, ' || fnd_global.newline;
1022: END IF;
1023:
1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||
1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||

Line 1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||

1020: IF l_mv_type <> 'ROOT' THEN
1021: l_mv := l_mv || ' FACT.ITEM_ORG_ID, ' || fnd_global.newline;
1022: END IF;
1023:
1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||
1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||

Line 1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||

1021: l_mv := l_mv || ' FACT.ITEM_ORG_ID, ' || fnd_global.newline;
1022: END IF;
1023:
1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||
1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||

Line 1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||

1022: END IF;
1023:
1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||
1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||

Line 1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||

1023:
1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||
1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||

Line 1028: ' ,0 c_backlog' || fnd_global.newline ||

1024: l_mv := l_mv || ' FACT.PRODUCT_CATEGORY_ID' || fnd_global.newline ||
1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||

Line 1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||

1025: ' ,FACT.REPAIR_ORGANIZATION_ID' || fnd_global.newline ||
1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||

Line 1030: ' ,0 not_promised_count' || fnd_global.newline ||

1026: ' ,FACT.REPAIR_TYPE_ID' || fnd_global.newline ||
1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||

Line 1031: ' ,0 past_due' || fnd_global.newline ||

1027: ' ,FACT.CUSTOMER_ID' || fnd_global.newline ||
1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||

Line 1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||

1028: ' ,0 c_backlog' || fnd_global.newline ||
1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||

Line 1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||

1029: ' ,(open_count - close_count) p_backlog' || fnd_global.newline ||
1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||

Line 1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||

1030: ' ,0 not_promised_count' || fnd_global.newline ||
1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||

Line 1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||

1031: ' ,0 past_due' || fnd_global.newline ||
1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||

Line 1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||

1032: ' ,0 days_until_promised_b1' || fnd_global.newline ||
1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||
1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||

Line 1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||

1033: ' ,0 days_until_promised_b2' || fnd_global.newline ||
1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||
1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||
1041: ' ,0 days_until_promised_b10' || fnd_global.newline;

Line 1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||

1034: ' ,0 days_until_promised_b3' || fnd_global.newline ||
1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||
1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||
1041: ' ,0 days_until_promised_b10' || fnd_global.newline;
1042: IF l_mv_type = 'ROOT' THEN

Line 1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||

1035: ' ,0 days_until_promised_b4' || fnd_global.newline ||
1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||
1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||
1041: ' ,0 days_until_promised_b10' || fnd_global.newline;
1042: IF l_mv_type = 'ROOT' THEN
1043: l_mv := l_mv ||

Line 1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||

1036: ' ,0 days_until_promised_b5' || fnd_global.newline ||
1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||
1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||
1041: ' ,0 days_until_promised_b10' || fnd_global.newline;
1042: IF l_mv_type = 'ROOT' THEN
1043: l_mv := l_mv ||
1044: ' FROM ISC_DR_BKLG_02_MV fact' || fnd_global.newline;

Line 1041: ' ,0 days_until_promised_b10' || fnd_global.newline;

1037: ' ,0 days_until_promised_b6' || fnd_global.newline ||
1038: ' ,0 days_until_promised_b7' || fnd_global.newline ||
1039: ' ,0 days_until_promised_b8' || fnd_global.newline ||
1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||
1041: ' ,0 days_until_promised_b10' || fnd_global.newline;
1042: IF l_mv_type = 'ROOT' THEN
1043: l_mv := l_mv ||
1044: ' FROM ISC_DR_BKLG_02_MV fact' || fnd_global.newline;
1045: ELSE

Line 1044: ' FROM ISC_DR_BKLG_02_MV fact' || fnd_global.newline;

1040: ' ,0 days_until_promised_b9' || fnd_global.newline ||
1041: ' ,0 days_until_promised_b10' || fnd_global.newline;
1042: IF l_mv_type = 'ROOT' THEN
1043: l_mv := l_mv ||
1044: ' FROM ISC_DR_BKLG_02_MV fact' || fnd_global.newline;
1045: ELSE
1046: l_mv := l_mv ||
1047: ' FROM ISC_DR_BKLG_01_MV fact' || fnd_global.newline;
1048: END IF;

Line 1047: ' FROM ISC_DR_BKLG_01_MV fact' || fnd_global.newline;

1043: l_mv := l_mv ||
1044: ' FROM ISC_DR_BKLG_02_MV fact' || fnd_global.newline;
1045: ELSE
1046: l_mv := l_mv ||
1047: ' FROM ISC_DR_BKLG_01_MV fact' || fnd_global.newline;
1048: END IF;
1049: l_mv := l_mv ||
1050: ' ,FII_TIME_RPT_STRUCT_V CAL' || fnd_global.newline ||
1051: ' WHERE fact.time_id = cal.time_id' || fnd_global.newline ||

Line 1050: ' ,FII_TIME_RPT_STRUCT_V CAL' || fnd_global.newline ||

1046: l_mv := l_mv ||
1047: ' FROM ISC_DR_BKLG_01_MV fact' || fnd_global.newline;
1048: END IF;
1049: l_mv := l_mv ||
1050: ' ,FII_TIME_RPT_STRUCT_V CAL' || fnd_global.newline ||
1051: ' WHERE fact.time_id = cal.time_id' || fnd_global.newline ||
1052: ' AND cal.report_date in &BIS_PREVIOUS_ASOF_DATE' || fnd_global.newline ||
1053: ' AND fact.aggregation_flag = :aggregation_flag_2' || fnd_global.newline ||
1054: ' AND bitand(cal.record_type_id, 1143) = cal.record_type_id) ';

Line 1051: ' WHERE fact.time_id = cal.time_id' || fnd_global.newline ||

1047: ' FROM ISC_DR_BKLG_01_MV fact' || fnd_global.newline;
1048: END IF;
1049: l_mv := l_mv ||
1050: ' ,FII_TIME_RPT_STRUCT_V CAL' || fnd_global.newline ||
1051: ' WHERE fact.time_id = cal.time_id' || fnd_global.newline ||
1052: ' AND cal.report_date in &BIS_PREVIOUS_ASOF_DATE' || fnd_global.newline ||
1053: ' AND fact.aggregation_flag = :aggregation_flag_2' || fnd_global.newline ||
1054: ' AND bitand(cal.record_type_id, 1143) = cal.record_type_id) ';
1055:

Line 1052: ' AND cal.report_date in &BIS_PREVIOUS_ASOF_DATE' || fnd_global.newline ||

1048: END IF;
1049: l_mv := l_mv ||
1050: ' ,FII_TIME_RPT_STRUCT_V CAL' || fnd_global.newline ||
1051: ' WHERE fact.time_id = cal.time_id' || fnd_global.newline ||
1052: ' AND cal.report_date in &BIS_PREVIOUS_ASOF_DATE' || fnd_global.newline ||
1053: ' AND fact.aggregation_flag = :aggregation_flag_2' || fnd_global.newline ||
1054: ' AND bitand(cal.record_type_id, 1143) = cal.record_type_id) ';
1055:
1056: -- Add measure columns that need to be aggregated

Line 1053: ' AND fact.aggregation_flag = :aggregation_flag_2' || fnd_global.newline ||

1049: l_mv := l_mv ||
1050: ' ,FII_TIME_RPT_STRUCT_V CAL' || fnd_global.newline ||
1051: ' WHERE fact.time_id = cal.time_id' || fnd_global.newline ||
1052: ' AND cal.report_date in &BIS_PREVIOUS_ASOF_DATE' || fnd_global.newline ||
1053: ' AND fact.aggregation_flag = :aggregation_flag_2' || fnd_global.newline ||
1054: ' AND bitand(cal.record_type_id, 1143) = cal.record_type_id) ';
1055:
1056: -- Add measure columns that need to be aggregated
1057:

Line 1178: 'SELECT '|| ISC_DEPOT_RPT_UTIL_PKG.get_viewby_select_clause (p_view_by_dim) || fnd_global.newline ||

1174: IF (p_view_by_dim = 'ITEM+ENI_ITEM') THEN
1175: l_description := ' v. description ';
1176: END IF;
1177: l_sel_clause :=
1178: 'SELECT '|| ISC_DEPOT_RPT_UTIL_PKG.get_viewby_select_clause (p_view_by_dim) || fnd_global.newline ||
1179: l_description || ' BIV_ATTRIBUTE1 ';
1180:
1181: l_sel_clause := l_sel_clause ||
1182: ',BIV_MEASURE2

Line 1198: ) || fnd_global.newline ;

1194: , p_alias_name => 'BIV_MEASURE5'
1195: , p_total_flag => 'N'
1196: , p_prefix => NULL
1197: , p_suffix => NULL
1198: ) || fnd_global.newline ;
1199:
1200: l_sel_clause := l_sel_clause || poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1201: , p_col_name => 'BIV_MEASURE15'
1202: , p_alias_name => 'BIV_MEASURE15'

Line 1206: ) || fnd_global.newline ;

1202: , p_alias_name => 'BIV_MEASURE15'
1203: , p_total_flag => 'N'
1204: , p_prefix => NULL
1205: , p_suffix => NULL
1206: ) || fnd_global.newline ;
1207:
1208: l_sel_clause := l_sel_clause || ' ,'|| l_drill_across_rep_1 ||' BIV_DYNAMIC_URL1' || fnd_global.newline;
1209:
1210: l_sel_clause := l_sel_clause || poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec

Line 1208: l_sel_clause := l_sel_clause || ' ,'|| l_drill_across_rep_1 ||' BIV_DYNAMIC_URL1' || fnd_global.newline;

1204: , p_prefix => NULL
1205: , p_suffix => NULL
1206: ) || fnd_global.newline ;
1207:
1208: l_sel_clause := l_sel_clause || ' ,'|| l_drill_across_rep_1 ||' BIV_DYNAMIC_URL1' || fnd_global.newline;
1209:
1210: l_sel_clause := l_sel_clause || poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1211: , p_col_name => 'BIV_ATTRIBUTE10'
1212: , p_alias_name => 'BIV_ATTRIBUTE10'

Line 1216: ) || fnd_global.newline ;

1212: , p_alias_name => 'BIV_ATTRIBUTE10'
1213: , p_total_flag => 'N'
1214: , p_prefix => NULL
1215: , p_suffix => NULL
1216: ) || fnd_global.newline ;
1217: l_sel_clause := l_sel_clause ||
1218: 'FROM ( SELECT
1219: rank() over (&ORDER_BY_CLAUSE'||' nulls last, '||p_view_by_col||') - 1 rnk
1220: ,'||p_view_by_col;

Line 1240: ) || fnd_global.newline ;

1236: , p_alias_name => 'BIV_MEASURE5'
1237: , p_total_flag => 'N'
1238: , p_prefix => NULL
1239: , p_suffix => NULL
1240: ) || fnd_global.newline ;
1241:
1242: l_sel_clause := l_sel_clause || poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1243: , p_col_name => 'BIV_MEASURE15'
1244: , p_alias_name => 'BIV_MEASURE15'

Line 1248: ) || fnd_global.newline ;

1244: , p_alias_name => 'BIV_MEASURE15'
1245: , p_total_flag => 'N'
1246: , p_prefix => NULL
1247: , p_suffix => NULL
1248: ) || fnd_global.newline ;
1249:
1250: l_sel_clause := l_sel_clause || poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1251: , p_col_name => 'BIV_ATTRIBUTE10'
1252: , p_alias_name => 'BIV_ATTRIBUTE10'

Line 1256: ) || fnd_global.newline ;

1252: , p_alias_name => 'BIV_ATTRIBUTE10'
1253: , p_total_flag => 'N'
1254: , p_prefix => NULL
1255: , p_suffix => NULL
1256: ) || fnd_global.newline ;
1257: l_sel_clause := l_sel_clause ||
1258: 'FROM (
1259: SELECT ' || p_view_by_col || fnd_global.newline ||
1260: ',' || ' NVL(c_p_backlog,0) BIV_MEASURE2 ' || fnd_global.newline ||

Line 1259: SELECT ' || p_view_by_col || fnd_global.newline ||

1255: , p_suffix => NULL
1256: ) || fnd_global.newline ;
1257: l_sel_clause := l_sel_clause ||
1258: 'FROM (
1259: SELECT ' || p_view_by_col || fnd_global.newline ||
1260: ',' || ' NVL(c_p_backlog,0) BIV_MEASURE2 ' || fnd_global.newline ||
1261: ',' || ' NVL(c_c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
1262: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog'
1263: ,prior_col => 'c_p_backlog'

Line 1260: ',' || ' NVL(c_p_backlog,0) BIV_MEASURE2 ' || fnd_global.newline ||

1256: ) || fnd_global.newline ;
1257: l_sel_clause := l_sel_clause ||
1258: 'FROM (
1259: SELECT ' || p_view_by_col || fnd_global.newline ||
1260: ',' || ' NVL(c_p_backlog,0) BIV_MEASURE2 ' || fnd_global.newline ||
1261: ',' || ' NVL(c_c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
1262: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog'
1263: ,prior_col => 'c_p_backlog'
1264: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 1261: ',' || ' NVL(c_c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||

1257: l_sel_clause := l_sel_clause ||
1258: 'FROM (
1259: SELECT ' || p_view_by_col || fnd_global.newline ||
1260: ',' || ' NVL(c_p_backlog,0) BIV_MEASURE2 ' || fnd_global.newline ||
1261: ',' || ' NVL(c_c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
1262: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog'
1263: ,prior_col => 'c_p_backlog'
1264: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
1265: || ' BIV_MEASURE3' || fnd_global.newline ||

Line 1265: || ' BIV_MEASURE3' || fnd_global.newline ||

1261: ',' || ' NVL(c_c_backlog,0) BIV_MEASURE1 ' || fnd_global.newline ||
1262: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog'
1263: ,prior_col => 'c_p_backlog'
1264: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
1265: || ' BIV_MEASURE3' || fnd_global.newline ||
1266: ',' || 'NVL(c_past_due,0) BIV_MEASURE4 ' || fnd_global.newline ;
1267: l_sel_clause := l_sel_clause ||
1268: poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1269: , p_col_name => 'c_DUP_DISTRIBUTION'

Line 1266: ',' || 'NVL(c_past_due,0) BIV_MEASURE4 ' || fnd_global.newline ;

1262: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog'
1263: ,prior_col => 'c_p_backlog'
1264: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
1265: || ' BIV_MEASURE3' || fnd_global.newline ||
1266: ',' || 'NVL(c_past_due,0) BIV_MEASURE4 ' || fnd_global.newline ;
1267: l_sel_clause := l_sel_clause ||
1268: poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1269: , p_col_name => 'c_DUP_DISTRIBUTION'
1270: , p_alias_name => 'BIV_MEASURE5'

Line 1274: ) || fnd_global.newline ;

1270: , p_alias_name => 'BIV_MEASURE5'
1271: , p_total_flag => 'N'
1272: , p_prefix => NULL
1273: , p_suffix => NULL
1274: ) || fnd_global.newline ;
1275: l_sel_clause := l_sel_clause ||
1276: ',' || ' NVL(c_not_promised,0) BIV_MEASURE6 ' || fnd_global.newline ||
1277: ',' || ' NVL(c_c_backlog_total,0) BIV_MEASURE11 ' || fnd_global.newline ||
1278: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog_total'

Line 1276: ',' || ' NVL(c_not_promised,0) BIV_MEASURE6 ' || fnd_global.newline ||

1272: , p_prefix => NULL
1273: , p_suffix => NULL
1274: ) || fnd_global.newline ;
1275: l_sel_clause := l_sel_clause ||
1276: ',' || ' NVL(c_not_promised,0) BIV_MEASURE6 ' || fnd_global.newline ||
1277: ',' || ' NVL(c_c_backlog_total,0) BIV_MEASURE11 ' || fnd_global.newline ||
1278: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog_total'
1279: ,prior_col => 'c_p_backlog_total'
1280: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent

Line 1277: ',' || ' NVL(c_c_backlog_total,0) BIV_MEASURE11 ' || fnd_global.newline ||

1273: , p_suffix => NULL
1274: ) || fnd_global.newline ;
1275: l_sel_clause := l_sel_clause ||
1276: ',' || ' NVL(c_not_promised,0) BIV_MEASURE6 ' || fnd_global.newline ||
1277: ',' || ' NVL(c_c_backlog_total,0) BIV_MEASURE11 ' || fnd_global.newline ||
1278: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog_total'
1279: ,prior_col => 'c_p_backlog_total'
1280: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
1281: || ' BIV_MEASURE13' || fnd_global.newline ||

Line 1281: || ' BIV_MEASURE13' || fnd_global.newline ||

1277: ',' || ' NVL(c_c_backlog_total,0) BIV_MEASURE11 ' || fnd_global.newline ||
1278: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog_total'
1279: ,prior_col => 'c_p_backlog_total'
1280: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
1281: || ' BIV_MEASURE13' || fnd_global.newline ||
1282: ',' || ' NVL(c_past_due_total,0) BIV_MEASURE14 ' || fnd_global.newline ;
1283: l_sel_clause := l_sel_clause ||
1284: poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1285: , p_col_name => 'c_DUP_DISTRIBUTION'

Line 1282: ',' || ' NVL(c_past_due_total,0) BIV_MEASURE14 ' || fnd_global.newline ;

1278: ',' || poa_dbi_util_pkg.change_clause( cur_col => 'c_c_backlog_total'
1279: ,prior_col => 'c_p_backlog_total'
1280: ,change_type => 'NP') -- 'P' for Percent ; 'NP' for non percent
1281: || ' BIV_MEASURE13' || fnd_global.newline ||
1282: ',' || ' NVL(c_past_due_total,0) BIV_MEASURE14 ' || fnd_global.newline ;
1283: l_sel_clause := l_sel_clause ||
1284: poa_dbi_util_pkg.get_bucket_outer_query( p_bucket_rec => p_bucket_rec
1285: , p_col_name => 'c_DUP_DISTRIBUTION'
1286: , p_alias_name => 'BIV_MEASURE15'

Line 1290: ) || fnd_global.newline ;

1286: , p_alias_name => 'BIV_MEASURE15'
1287: , p_total_flag => 'Y'
1288: , p_prefix => NULL
1289: , p_suffix => NULL
1290: ) || fnd_global.newline ;
1291: l_sel_clause := l_sel_clause ||
1292: ',' || ' NVL(c_not_promised_total,0) BIV_MEASURE16 ' || fnd_global.newline ;
1293:
1294: l_sel_clause := l_sel_clause ||

Line 1292: ',' || ' NVL(c_not_promised_total,0) BIV_MEASURE16 ' || fnd_global.newline ;

1288: , p_prefix => NULL
1289: , p_suffix => NULL
1290: ) || fnd_global.newline ;
1291: l_sel_clause := l_sel_clause ||
1292: ',' || ' NVL(c_not_promised_total,0) BIV_MEASURE16 ' || fnd_global.newline ;
1293:
1294: l_sel_clause := l_sel_clause ||
1295: poa_dbi_util_pkg.get_bucket_drill_url( p_bucket_rec
1296: , 'BIV_ATTRIBUTE10'