DBA Data[Home] [Help]

APPS.CN_MASS_ADJUST_UTIL SQL Statements

The following lines contain the word 'select', 'insert', 'update' or 'delete':

Line: 57

   SELECT conversion_date
     FROM gl_daily_rates
    WHERE from_currency 	= p_from_currency
      AND to_currency		= p_to_currency
      AND conversion_type	= p_conversion_type
      AND conversion_date	= p_conversion_date
      AND rownum		< 2
    ORDER BY conversion_date DESC;
Line: 66

   SELECT MAX(conversion_date) conversion_date
     FROM gl_daily_rates
    WHERE from_currency 	= p_from_currency
      AND to_currency		= p_to_currency
      AND conversion_type	= p_conversion_type
      AND conversion_date	< p_conversion_date;
Line: 243

      last_updated_by		cn_comm_lines_api.last_updated_by%TYPE,
      last_update_date		cn_comm_lines_api.last_update_date%TYPE,
      last_update_login		cn_comm_lines_api.last_update_login%TYPE,
      transaction_currency_code	cn_comm_lines_api.transaction_currency_code%TYPE,
      exchange_rate		cn_comm_lines_api.exchange_rate%TYPE,
      acctd_transaction_amount	cn_comm_lines_api.acctd_transaction_amount%TYPE,
      trx_id   			cn_comm_lines_api.trx_id%TYPE,
      trx_line_id		cn_comm_lines_api.trx_line_id%TYPE,
      trx_sales_line_id 	cn_comm_lines_api.trx_sales_line_id%TYPE,
      org_id          		cn_comm_lines_api.org_id%TYPE,
      quantity         		cn_comm_lines_api.quantity%TYPE,
      source_trx_number 	cn_comm_lines_api.source_trx_number%TYPE,
      discount_percentage	cn_comm_lines_api.discount_percentage%TYPE,
      margin_percentage    	cn_comm_lines_api.margin_percentage%TYPE,
      source_trx_id    		cn_comm_lines_api.source_trx_id%TYPE,
      source_trx_line_id  	cn_comm_lines_api.source_trx_line_id%TYPE,
      source_trx_sales_line_id  cn_comm_lines_api.source_trx_sales_line_id%TYPE,
      negated_flag    		cn_comm_lines_api.negated_flag%TYPE,
      customer_id     		cn_comm_lines_api.customer_id%TYPE,
      inventory_item_id 	cn_comm_lines_api.inventory_item_id%TYPE,
      order_number    		cn_comm_lines_api.order_number%TYPE,
      booked_date     		cn_comm_lines_api.booked_date%TYPE,
      invoice_number  		cn_comm_lines_api.invoice_number%TYPE,
      invoice_date    		cn_comm_lines_api.invoice_date%TYPE,
      adjust_date    		cn_comm_lines_api.adjust_date%TYPE,
      adjusted_by    		cn_comm_lines_api.adjusted_by%TYPE,
      revenue_type   		cn_comm_lines_api.revenue_type%TYPE,
      adjust_rollup_flag 	cn_comm_lines_api.adjust_rollup_flag%TYPE,
      adjust_comments    	cn_comm_lines_api.adjust_comments%TYPE,
      adjust_status     	cn_comm_lines_api.adjust_status%TYPE,
      line_number       	cn_comm_lines_api.line_number%TYPE,
      bill_to_address_id 	cn_comm_lines_api.bill_to_address_id%TYPE,
      ship_to_address_id 	cn_comm_lines_api.ship_to_address_id%TYPE,
      bill_to_contact_id  	cn_comm_lines_api.bill_to_contact_id%TYPE,
      ship_to_contact_id 	cn_comm_lines_api.ship_to_contact_id%TYPE,
      adj_comm_lines_api_id  	cn_comm_lines_api.adj_comm_lines_api_id%TYPE,
      pre_defined_rc_flag	cn_comm_lines_api.pre_defined_rc_flag%TYPE,
      rollup_flag     		cn_comm_lines_api.rollup_flag%TYPE,
      forecast_id      		cn_comm_lines_api.forecast_id%TYPE,
      upside_quantity   	cn_comm_lines_api.upside_quantity%TYPE,
      upside_amount     	cn_comm_lines_api.upside_amount%TYPE,
      uom_code          	cn_comm_lines_api.uom_code%TYPE,
      reason_code        	cn_comm_lines_api.reason_code%TYPE,
      type               	cn_comm_lines_api.type%TYPE,
      pre_processed_code  	cn_comm_lines_api.pre_processed_code%TYPE,
      quota_id            	cn_comm_lines_api.quota_id%TYPE,
      srp_plan_assign_id  	cn_comm_lines_api.srp_plan_assign_id%TYPE,
      role_id            	cn_comm_lines_api.role_id%TYPE,
      comp_group_id      	cn_comm_lines_api.comp_group_id%TYPE,
      commission_amount   	cn_comm_lines_api.commission_amount%TYPE,
      employee_number     	cn_comm_lines_api.employee_number%TYPE,
      reversal_flag       	cn_comm_lines_api.reversal_flag%TYPE,
      reversal_header_id 	cn_comm_lines_api.reversal_header_id%TYPE,
      sales_channel       	cn_comm_lines_api.sales_channel%TYPE,
      object_version_number 	cn_comm_lines_api.object_version_number%TYPE,
      split_pct			cn_comm_lines_api.split_pct%TYPE,
      split_status		cn_comm_lines_api.split_status%TYPE,
      direct_salesrep_number	cn_salesreps.employee_number%TYPE,
      direct_salesrep_name	cn_salesreps.name%TYPE,
      period_name		cn_period_statuses.period_name%TYPE,
      trx_type_disp		cn_lookups.meaning%TYPE,
      reason			cn_lookups.meaning%TYPE,
      revenue_class_name       	cn_revenue_classes.name%TYPE,
      revenue_type_disp		cn_lookups.meaning%TYPE,
      adjust_status_disp	cn_lookups.meaning%TYPE,
      terr_id               cn_comm_lines_api.terr_id%TYPE,
      preserve_credit_override_flag    cn_comm_lines_api.preserve_credit_override_flag%TYPE);
Line: 442

      last_update_date		cn_commission_headers.last_update_date%TYPE,
      last_updated_by		cn_commission_headers.last_updated_by%TYPE,
      last_update_login		cn_commission_headers.last_update_login%TYPE,
      creation_date		cn_commission_headers.creation_date%TYPE,
      created_by		cn_commission_headers.created_by%TYPE,
      org_id          		cn_commission_headers.org_id%TYPE,
      exchange_rate		cn_commission_headers.exchange_rate%TYPE,
      forecast_id		cn_commission_headers.forecast_id%TYPE,
      upside_quantity   	cn_commission_headers.upside_quantity%TYPE,
      upside_amount     	cn_commission_headers.upside_amount%TYPE,
      uom_code          	cn_commission_headers.uom_code%TYPE,
      source_trx_id    		cn_commission_headers.source_trx_id%TYPE,
      source_trx_line_id  	cn_commission_headers.source_trx_line_id%TYPE,
      source_trx_sales_line_id  cn_commission_headers.source_trx_sales_line_id%TYPE,
      negated_flag    		cn_commission_headers.negated_flag%TYPE,
      customer_id     		cn_commission_headers.customer_id%TYPE,
      inventory_item_id 	cn_commission_headers.inventory_item_id%TYPE,
      order_number    		cn_commission_headers.order_number%TYPE,
      booked_date     		cn_commission_headers.booked_date%TYPE,
      invoice_number  		cn_commission_headers.invoice_number%TYPE,
      invoice_date    		cn_commission_headers.invoice_date%TYPE,
      bill_to_address_id 	cn_commission_headers.bill_to_address_id%TYPE,
      ship_to_address_id 	cn_commission_headers.ship_to_address_id%TYPE,
      bill_to_contact_id  	cn_commission_headers.bill_to_contact_id%TYPE,
      ship_to_contact_id 	cn_commission_headers.ship_to_contact_id%TYPE,
      adj_comm_lines_api_id  	cn_commission_headers.adj_comm_lines_api_id%TYPE,
      adjust_date    		cn_commission_headers.adjust_date%TYPE,
      adjusted_by    		cn_commission_headers.adjusted_by%TYPE,
      revenue_type   		cn_commission_headers.revenue_type%TYPE,
      adjust_rollup_flag 	cn_commission_headers.adjust_rollup_flag%TYPE,
      adjust_comments    	cn_commission_headers.adjust_comments%TYPE,
      adjust_status     	cn_commission_headers.adjust_status%TYPE,
      line_number       	cn_commission_headers.line_number%TYPE,
      request_id		cn_commission_headers.request_id%TYPE,
      program_id		cn_commission_headers.program_id%TYPE,
      program_application_id	cn_commission_headers.program_application_id%TYPE,
      program_update_date	cn_commission_headers.program_update_date%TYPE,
      type               	cn_commission_headers.type%TYPE,
      sales_channel       	cn_commission_headers.sales_channel%TYPE,
      object_version_number 	cn_commission_headers.object_version_number%TYPE,
      split_pct			cn_commission_headers.split_pct%TYPE,
      split_status		cn_commission_headers.split_status%TYPE,
      direct_salesrep_number	cn_salesreps.employee_number%TYPE,
      direct_salesrep_name	cn_salesreps.name%TYPE,
      period_name		cn_period_statuses.period_name%TYPE,
      status_disp		cn_lookups.meaning%TYPE,
      trx_type_disp		cn_lookups.meaning%TYPE,
      reason			cn_lookups.meaning%TYPE,
      revenue_class_name       	cn_revenue_classes.name%TYPE,
      revenue_type_disp		cn_lookups.meaning%TYPE,
      adjust_status_disp	cn_lookups.meaning%TYPE,
      trx_batch_name		cn_trx_batches.trx_batch_name%TYPE,
      terr_id               cn_comm_lines_api.terr_id%TYPE,
      preserve_credit_override_flag    cn_comm_lines_api.preserve_credit_override_flag%TYPE
      );
Line: 524

     select trx_id, trx_line_id, trx_sales_line_id
     from cn_comm_lines_api
     where comm_lines_api_id = p_comm_lines_api_id;
Line: 556

      'SELECT '||
      'CCH.commission_header_id,CCH.direct_salesrep_id,CCH.processed_date, '||
      'CCH.processed_period_id,CCH.rollup_date,CCH.transaction_amount, '||
      'CCH.quantity,CCH.discount_percentage,CCH.margin_percentage, '||
      'CCH.orig_currency_code,CCH.transaction_amount_orig, '||
      'CCH.trx_type,CCH.status,CCH.pre_processed_code,CCH.comm_lines_api_id, '||
      'CCH.source_doc_type,CCH.source_trx_number,CCH.quota_id, '||
      'CCH.srp_plan_assign_id,CCH.revenue_class_id,CCH.role_id, '||
      'CCH.comp_group_id,CCH.commission_amount,CCH.trx_batch_id, '||
      'CCH.reversal_flag,CCH.reversal_header_id,CCH.reason_code, '||
      'CCH.comments,CCH.attribute_category, '||
      'CCH.attribute1,CCH.attribute2,CCH.attribute3,CCH.attribute4,CCH.attribute5, '||
      'CCH.attribute6,CCH.attribute7,CCH.attribute8,CCH.attribute9,CCH.attribute10, '||
      'CCH.attribute11,CCH.attribute12,CCH.attribute13,CCH.attribute14,CCH.attribute15, '||
      'CCH.attribute16,CCH.attribute17,CCH.attribute18,CCH.attribute19,CCH.attribute20, '||
      'CCH.attribute21,CCH.attribute22,CCH.attribute23,CCH.attribute24,CCH.attribute25, '||
      'CCH.attribute26,CCH.attribute27,CCH.attribute28,CCH.attribute29,CCH.attribute30, '||
      'CCH.attribute31,CCH.attribute32,CCH.attribute33,CCH.attribute34,CCH.attribute35, '||
      'CCH.attribute36,CCH.attribute37,CCH.attribute38,CCH.attribute39,CCH.attribute40, '||
      'CCH.attribute41,CCH.attribute42,CCH.attribute43,CCH.attribute44,CCH.attribute45, '||
      'CCH.attribute46,CCH.attribute47,CCH.attribute48,CCH.attribute49,CCH.attribute50, '||
      'CCH.attribute51,CCH.attribute52,CCH.attribute53,CCH.attribute54,CCH.attribute55, '||
      'CCH.attribute56,CCH.attribute57,CCH.attribute58,CCH.attribute59,CCH.attribute60, '||
      'CCH.attribute61,CCH.attribute62,CCH.attribute63,CCH.attribute64,CCH.attribute65, '||
      'CCH.attribute66,CCH.attribute67,CCH.attribute68,CCH.attribute69,CCH.attribute70, '||
      'CCH.attribute71,CCH.attribute72,CCH.attribute73,CCH.attribute74,CCH.attribute75, '||
      'CCH.attribute76,CCH.attribute77,CCH.attribute78,CCH.attribute79,CCH.attribute80, '||
      'CCH.attribute81,CCH.attribute82,CCH.attribute83,CCH.attribute84,CCH.attribute85, '||
      'CCH.attribute86,CCH.attribute87,CCH.attribute88,CCH.attribute89,CCH.attribute90, '||
      'CCH.attribute91,CCH.attribute92,CCH.attribute93,CCH.attribute94,CCH.attribute95, '||
      'CCH.attribute96,CCH.attribute97,CCH.attribute98,CCH.attribute99,CCH.attribute100, '||
      'CCH.last_update_date,CCH.last_updated_by,CCH.last_update_login, '||
      'CCH.creation_date,CCH.created_by,CCH.org_id,CCH.exchange_rate, '||
      'CCH.forecast_id,CCH.upside_quantity,CCH.upside_amount, '||
      'CCH.uom_code,CCH.source_trx_id,CCH.source_trx_line_id, '||
      'CCH.source_trx_sales_line_id,CCH.negated_flag,CCH.customer_id, '||
      'CCH.inventory_item_id,CCH.order_number,CCH.booked_date, '||
      'CCH.invoice_number,CCH.invoice_date,CCH.bill_to_address_id, '||
      'CCH.ship_to_address_id,CCH.bill_to_contact_id,CCH.ship_to_contact_id, '||
      'CCH.adj_comm_lines_api_id,CCH.adjust_date,CCH.adjusted_by, '||
      'CCH.revenue_type,CCH.adjust_rollup_flag,CCH.adjust_comments, '||
      'CCH.adjust_status,CCH.line_number,CCH.request_id,CCH.program_id, '||
      'CCH.program_application_id,CCH.program_update_date,CCH.type, '||
      'CCH.sales_channel,CCH.object_version_number,CCH.split_pct, CCH.split_status, '||
      'RSD.employee_number direct_salesrep_number, '||
      'RSD.name direct_salesrep_name, CPSP.period_name, '||
      'CLS.meaning status_disp ,CLT.meaning trx_type_disp, '||
      'CLR.meaning reason, CNR.name revenue_class_name, '||
      'CLRV.meaning revenue_type_disp, CLAD.meaning adjust_status_disp, '||
      'CTB.trx_batch_name, '||
      --Added for Crediting bug
      'API.terr_id, API.preserve_credit_override_flag '||
      'FROM cn_period_statuses CPSP, cn_salesreps RSD, cn_commission_headers_all CCH, '||
      'cn_lookups CLT, cn_lookups CLR, cn_lookups CLS, cn_lookups CLRV, '||
      'cn_lookups CLAD, cn_revenue_classes CNR, cn_quotas CQ, cn_trx_batches CTB, '||
      -- Modified for Crediting Bug
      'cn_comm_lines_api API '||
      'WHERE CCH.direct_salesrep_id = RSD.salesrep_id '||
      --Modified for Crediting Bug
      'AND CCH.comm_lines_api_id = API.comm_lines_api_id(+)'||
      'AND CCH.org_id = API.org_id(+)'||
      'AND CCH.processed_period_id = CPSP.period_id '||
      'AND CCH.status = CLS.lookup_code(+) '||
      'AND CLS.lookup_type (+)= ''TRX_STATUS'' '||
      'AND CCH.reason_code = CLR.lookup_code(+) '||
      'AND CLR.lookup_type (+)= ''ADJUSTMENT_REASON'' '||
      'AND CCH.trx_type = CLT.lookup_code(+) '||
      'AND CLT.lookup_type (+)= ''TRX TYPES'' '||
      'AND CCH.revenue_type = CLRV.lookup_code (+) '||
      'AND CLRV.lookup_type (+) = ''REVENUE_TYPE'' '||
      'AND CCH.adjust_status = CLAD.lookup_code (+) '||
      'AND CLAD.lookup_type (+) = ''ADJUST_STATUS'' '||
      'AND CCH.quota_id = CQ.quota_id(+) '||
      'AND CCH.revenue_class_id = CNR.revenue_class_id(+) '||
      'AND CCH.trx_batch_id = CTB.trx_batch_id(+) '||
      'AND CPSP.ORG_ID = CCH.ORG_ID '||
      'AND RSD.ORG_ID = CCH.ORG_id '||
      'AND CNR.ORG_ID(+) = CCH.ORG_id '||
      'AND CQ.ORG_ID(+) = CCH.ORG_id '||
      'AND CTB.ORG_ID(+) = CCH.ORG_id ';
Line: 648

      'SELECT '||
      'CCLA.salesrep_id,CCLA.processed_date,CCLA.processed_period_id,CCLA.transaction_amount, '||
      'CCLA.trx_type,CCLA.revenue_class_id,CCLA.load_status,CCLA.attribute_category, '||
      'CCLA.attribute1,CCLA.attribute2,CCLA.attribute3,CCLA.attribute4,CCLA.attribute5, '||
      'CCLA.attribute6,CCLA.attribute7,CCLA.attribute8,CCLA.attribute9,CCLA.attribute10, '||
      'CCLA.attribute11,CCLA.attribute12,CCLA.attribute13,CCLA.attribute14,CCLA.attribute15, '||
      'CCLA.attribute16,CCLA.attribute17,CCLA.attribute18,CCLA.attribute19,CCLA.attribute20, '||
      'CCLA.attribute21,CCLA.attribute22,CCLA.attribute23,CCLA.attribute24,CCLA.attribute25, '||
      'CCLA.attribute26,CCLA.attribute27,CCLA.attribute28,CCLA.attribute29,CCLA.attribute30, '||
      'CCLA.attribute31,CCLA.attribute32,CCLA.attribute33,CCLA.attribute34,CCLA.attribute35, '||
      'CCLA.attribute36,CCLA.attribute37,CCLA.attribute38,CCLA.attribute39,CCLA.attribute40, '||
      'CCLA.attribute41,CCLA.attribute42,CCLA.attribute43,CCLA.attribute44,CCLA.attribute45, '||
      'CCLA.attribute46,CCLA.attribute47,CCLA.attribute48,CCLA.attribute49,CCLA.attribute50, '||
      'CCLA.attribute51,CCLA.attribute52,CCLA.attribute53,CCLA.attribute54,CCLA.attribute55, '||
      'CCLA.attribute56,CCLA.attribute57,CCLA.attribute58,CCLA.attribute59,CCLA.attribute60, '||
      'CCLA.attribute61,CCLA.attribute62,CCLA.attribute63,CCLA.attribute64,CCLA.attribute65, '||
      'CCLA.attribute66,CCLA.attribute67,CCLA.attribute68,CCLA.attribute69,CCLA.attribute70, '||
      'CCLA.attribute71,CCLA.attribute72,CCLA.attribute73,CCLA.attribute74,CCLA.attribute75, '||
      'CCLA.attribute76,CCLA.attribute77,CCLA.attribute78,CCLA.attribute79,CCLA.attribute80, '||
      'CCLA.attribute81,CCLA.attribute82,CCLA.attribute83,CCLA.attribute84,CCLA.attribute85, '||
      'CCLA.attribute86,CCLA.attribute87,CCLA.attribute88,CCLA.attribute89,CCLA.attribute90, '||
      'CCLA.attribute91,CCLA.attribute92,CCLA.attribute93,CCLA.attribute94,CCLA.attribute95, '||
      'CCLA.attribute96,CCLA.attribute97,CCLA.attribute98,CCLA.attribute99,CCLA.attribute100, '||
      'CCLA.comm_lines_api_id,CCLA.conc_batch_id,CCLA.process_batch_id,CCLA.salesrep_number, '||
      'CCLA.rollup_date,CCLA.source_doc_id,CCLA.source_doc_type,CCLA.created_by, '||
      'CCLA.creation_date,CCLA.last_updated_by,CCLA.last_update_date,CCLA.last_update_login, '||
      'CCLA.transaction_currency_code,CCLA.exchange_rate,CCLA.acctd_transaction_amount, '||
      'CCLA.trx_id,CCLA.trx_line_id,CCLA.trx_sales_line_id,CCLA.org_id,CCLA.quantity, '||
      'CCLA.source_trx_number, CCLA.discount_percentage,CCLA.margin_percentage, '||
      'CCLA.source_trx_id,CCLA.source_trx_line_id, '||
      'CCLA.source_trx_sales_line_id,CCLA.negated_flag,CCLA.customer_id,CCLA.inventory_item_id, '||
      'CCLA.order_number,CCLA.booked_date,CCLA.invoice_number,CCLA.invoice_date,CCLA.adjust_date, '||
      'CCLA.adjusted_by,CCLA.revenue_type,CCLA.adjust_rollup_flag,CCLA.adjust_comments, '||
      'CCLA.adjust_status,CCLA.line_number,CCLA.bill_to_address_id,CCLA.ship_to_address_id, '||
      'CCLA.bill_to_contact_id,CCLA.ship_to_contact_id,CCLA.adj_comm_lines_api_id, '||
      'CCLA.pre_defined_rc_flag,CCLA.rollup_flag,CCLA.forecast_id,CCLA.upside_quantity, '||
      'CCLA.upside_amount,CCLA.uom_code,CCLA.reason_code,CCLA.type,CCLA.pre_processed_code, '||
      'CCLA.quota_id,CCLA.srp_plan_assign_id,CCLA.role_id,CCLA.comp_group_id, '||
      'CCLA.commission_amount,CCLA.employee_number,CCLA.reversal_flag,CCLA.reversal_header_id, '||
      'CCLA.sales_channel,CCLA.object_version_number,CCLA.split_pct,CCLA.split_status, '||
      'RSD.employee_number, '||
      'RSD.name, CPSP.period_name, CLT.meaning trx_type_disp, '||
      'CLR.meaning reason, CNR.name revenue_class_name, '||
      'CLRV.meaning revenue_type_disp, CLAD.meaning adjust_status_disp, '||
      --Modified for Crediting Bug
      'CCLA.terr_id, CCLA.preserve_credit_override_flag '||
      'FROM cn_comm_lines_api_all CCLA, '||
      'cn_period_statuses CPSP, cn_salesreps RSD, '||
      'cn_revenue_classes CNR, cn_lookups CLT, '||
      'cn_lookups CLRV, cn_lookups CLAD,cn_lookups CLR '||
      'WHERE RSD.salesrep_id = CCLA.salesrep_id '||
      'AND CCLA.processed_period_id = CPSP.period_id '||
      'AND CCLA.revenue_class_id = CNR.revenue_class_id(+) '||
      'AND CCLA.reason_code = CLR.lookup_code(+) '||
      'AND CLR.lookup_type (+)= ''ADJUSTMENT_REASON'' '||
      'AND CCLA.trx_type = CLT.lookup_code '||
      'AND CLT.lookup_type = ''TRX TYPES'' '||
      'AND CCLA.revenue_type = CLRV.lookup_code(+) '||
      'AND CLRV.lookup_type (+)= ''REVENUE_TYPE'' '||
      'AND CCLA.adjust_status = CLAD.lookup_code(+) '||
      'AND CLAD.lookup_type (+)= ''ADJUST_STATUS'' '||
      'AND nvl(CCLA.load_status,''X'') <> ''LOADED'' '||
      'AND CPSP.ORG_ID = CCLA.ORG_ID '||
      'AND RSD.ORG_ID = CCLA.ORG_ID '||
      'AND CNR.ORG_ID(+) = CCLA.ORG_id ';
Line: 893

	 l_adj_tbl(l_source_counter).last_updated_by		:= l_header_rec.last_updated_by;
Line: 894

	 l_adj_tbl(l_source_counter).last_update_login		:= l_header_rec.last_update_login;
Line: 895

	 l_adj_tbl(l_source_counter).last_update_date		:= l_header_rec.last_update_date;
Line: 1011

	 l_adj_tbl(l_source_counter).program_update_date 	:= l_header_rec.program_update_date;
Line: 1113

	    l_adj_tbl(l_source_counter).last_updated_by		:= l_api_rec.last_updated_by;
Line: 1114

	    l_adj_tbl(l_source_counter).last_update_login	:= l_api_rec.last_update_login;
Line: 1115

	    l_adj_tbl(l_source_counter).last_update_date	:= l_api_rec.last_update_date;
Line: 1808

     SELECT  DECODE(p_rec.direct_salesrep_id, null, fnd_api.g_miss_num, p_rec.direct_salesrep_id),
	           DECODE(p_rec.processed_date, null, fnd_api.g_miss_date, p_rec.processed_date),
	    	   DECODE(p_rec.processed_period_id, null, fnd_api.g_miss_num, p_rec.processed_period_id),
	    	   DECODE(p_rec.transaction_amount, null, fnd_api.g_miss_num, p_rec.transaction_amount),
	    	   DECODE(p_rec.trx_type, null, fnd_api.g_miss_char,p_rec.trx_type),
	    	   DECODE(p_rec.revenue_class_id, null, fnd_api.g_miss_num, p_rec.revenue_class_id),
		   DECODE(p_rec.load_status, null, fnd_api.g_miss_char, p_rec.load_status),
	    	   DECODE(p_rec.attribute1,null, fnd_api.g_miss_char, p_rec.attribute1),
	    	   DECODE(p_rec.attribute2,null, fnd_api.g_miss_char, p_rec.attribute2),
	    	   DECODE(p_rec.attribute3,null, fnd_api.g_miss_char, p_rec.attribute3),
	    	   DECODE(p_rec.attribute4,null, fnd_api.g_miss_char, p_rec.attribute4),
	    	   DECODE(p_rec.attribute5,null, fnd_api.g_miss_char, p_rec.attribute5),
	    	   DECODE(p_rec.attribute6,null, fnd_api.g_miss_char, p_rec.attribute6),
	    	   DECODE(p_rec.attribute7,null, fnd_api.g_miss_char, p_rec.attribute7),
               DECODE(p_rec.attribute8,null, fnd_api.g_miss_char, p_rec.attribute8),
               DECODE(p_rec.attribute9,null, fnd_api.g_miss_char, p_rec.attribute9),
               DECODE(p_rec.attribute10,null, fnd_api.g_miss_char, p_rec.attribute10),
               DECODE(p_rec.attribute11,null, fnd_api.g_miss_char, p_rec.attribute11),
	    	   DECODE(p_rec.attribute12,null, fnd_api.g_miss_char, p_rec.attribute12),
	    	   DECODE(p_rec.attribute13,null, fnd_api.g_miss_char, p_rec.attribute13),
	    	   DECODE(p_rec.attribute14,null, fnd_api.g_miss_char, p_rec.attribute14),
	    	   DECODE(p_rec.attribute15,null, fnd_api.g_miss_char, p_rec.attribute15),
	    	   DECODE(p_rec.attribute16,null, fnd_api.g_miss_char, p_rec.attribute16),
	    	   DECODE(p_rec.attribute17,null, fnd_api.g_miss_char, p_rec.attribute17),
               DECODE(p_rec.attribute18,null, fnd_api.g_miss_char, p_rec.attribute18),
               DECODE(p_rec.attribute19,null, fnd_api.g_miss_char, p_rec.attribute19),
               DECODE(p_rec.attribute20,null, fnd_api.g_miss_char, p_rec.attribute20),
               DECODE(p_rec.attribute21,null, fnd_api.g_miss_char, p_rec.attribute21),
	    	   DECODE(p_rec.attribute22,null, fnd_api.g_miss_char, p_rec.attribute22),
	    	   DECODE(p_rec.attribute23,null, fnd_api.g_miss_char, p_rec.attribute23),
	    	   DECODE(p_rec.attribute24,null, fnd_api.g_miss_char, p_rec.attribute24),
	    	   DECODE(p_rec.attribute25,null, fnd_api.g_miss_char, p_rec.attribute25),
	    	   DECODE(p_rec.attribute26,null, fnd_api.g_miss_char, p_rec.attribute26),
	    	   DECODE(p_rec.attribute27,null, fnd_api.g_miss_char, p_rec.attribute27),
               DECODE(p_rec.attribute28,null, fnd_api.g_miss_char, p_rec.attribute28),
               DECODE(p_rec.attribute29,null, fnd_api.g_miss_char, p_rec.attribute29),
               DECODE(p_rec.attribute30,null, fnd_api.g_miss_char, p_rec.attribute30),
               DECODE(p_rec.attribute31,null, fnd_api.g_miss_char, p_rec.attribute31),
	    	   DECODE(p_rec.attribute32,null, fnd_api.g_miss_char, p_rec.attribute32),
	    	   DECODE(p_rec.attribute33,null, fnd_api.g_miss_char, p_rec.attribute33),
	    	   DECODE(p_rec.attribute34,null, fnd_api.g_miss_char, p_rec.attribute34),
	    	   DECODE(p_rec.attribute35,null, fnd_api.g_miss_char, p_rec.attribute35),
	    	   DECODE(p_rec.attribute36,null, fnd_api.g_miss_char, p_rec.attribute36),
	    	   DECODE(p_rec.attribute37,null, fnd_api.g_miss_char, p_rec.attribute37),
               DECODE(p_rec.attribute38,null, fnd_api.g_miss_char, p_rec.attribute38),
               DECODE(p_rec.attribute39,null, fnd_api.g_miss_char, p_rec.attribute39),
               DECODE(p_rec.attribute40,null, fnd_api.g_miss_char, p_rec.attribute40),
               DECODE(p_rec.attribute41,null, fnd_api.g_miss_char, p_rec.attribute41),
	    	   DECODE(p_rec.attribute42,null, fnd_api.g_miss_char, p_rec.attribute42),
	    	   DECODE(p_rec.attribute43,null, fnd_api.g_miss_char, p_rec.attribute43),
	    	   DECODE(p_rec.attribute44,null, fnd_api.g_miss_char, p_rec.attribute44),
	    	   DECODE(p_rec.attribute45,null, fnd_api.g_miss_char, p_rec.attribute45),
	    	   DECODE(p_rec.attribute46,null, fnd_api.g_miss_char, p_rec.attribute46),
	    	   DECODE(p_rec.attribute47,null, fnd_api.g_miss_char, p_rec.attribute47),
               DECODE(p_rec.attribute48,null, fnd_api.g_miss_char, p_rec.attribute48),
               DECODE(p_rec.attribute49,null, fnd_api.g_miss_char, p_rec.attribute49),
               DECODE(p_rec.attribute50,null, fnd_api.g_miss_char, p_rec.attribute50),
               DECODE(p_rec.attribute51,null, fnd_api.g_miss_char, p_rec.attribute51),
	    	   DECODE(p_rec.attribute52,null, fnd_api.g_miss_char, p_rec.attribute52),
	    	   DECODE(p_rec.attribute53,null, fnd_api.g_miss_char, p_rec.attribute53),
	    	   DECODE(p_rec.attribute54,null, fnd_api.g_miss_char, p_rec.attribute54),
	    	   DECODE(p_rec.attribute55,null, fnd_api.g_miss_char, p_rec.attribute55),
	    	   DECODE(p_rec.attribute56,null, fnd_api.g_miss_char, p_rec.attribute56),
	    	   DECODE(p_rec.attribute57,null, fnd_api.g_miss_char, p_rec.attribute57),
               DECODE(p_rec.attribute58,null, fnd_api.g_miss_char, p_rec.attribute58),
               DECODE(p_rec.attribute59,null, fnd_api.g_miss_char, p_rec.attribute59),
               DECODE(p_rec.attribute60,null, fnd_api.g_miss_char, p_rec.attribute60),
               DECODE(p_rec.attribute61,null, fnd_api.g_miss_char, p_rec.attribute61),
	    	   DECODE(p_rec.attribute62,null, fnd_api.g_miss_char, p_rec.attribute62),
	    	   DECODE(p_rec.attribute63,null, fnd_api.g_miss_char, p_rec.attribute63),
	    	   DECODE(p_rec.attribute64,null, fnd_api.g_miss_char, p_rec.attribute64),
	    	   DECODE(p_rec.attribute65,null, fnd_api.g_miss_char, p_rec.attribute65),
	    	   DECODE(p_rec.attribute66,null, fnd_api.g_miss_char, p_rec.attribute66),
	    	   DECODE(p_rec.attribute67,null, fnd_api.g_miss_char, p_rec.attribute67),
               DECODE(p_rec.attribute68,null, fnd_api.g_miss_char, p_rec.attribute68),
               DECODE(p_rec.attribute69,null, fnd_api.g_miss_char, p_rec.attribute69),
               DECODE(p_rec.attribute70,null, fnd_api.g_miss_char, p_rec.attribute70),
               DECODE(p_rec.attribute71,null, fnd_api.g_miss_char, p_rec.attribute71),
	    	   DECODE(p_rec.attribute72,null, fnd_api.g_miss_char, p_rec.attribute72),
	    	   DECODE(p_rec.attribute73,null, fnd_api.g_miss_char, p_rec.attribute73),
	    	   DECODE(p_rec.attribute74,null, fnd_api.g_miss_char, p_rec.attribute74),
	    	   DECODE(p_rec.attribute75,null, fnd_api.g_miss_char, p_rec.attribute75),
	    	   DECODE(p_rec.attribute76,null, fnd_api.g_miss_char, p_rec.attribute76),
	    	   DECODE(p_rec.attribute77,null, fnd_api.g_miss_char, p_rec.attribute77),
               DECODE(p_rec.attribute78,null, fnd_api.g_miss_char, p_rec.attribute78),
               DECODE(p_rec.attribute79,null, fnd_api.g_miss_char, p_rec.attribute79),
               DECODE(p_rec.attribute80,null, fnd_api.g_miss_char, p_rec.attribute80),
               DECODE(p_rec.attribute81,null, fnd_api.g_miss_char, p_rec.attribute81),
	    	   DECODE(p_rec.attribute82,null, fnd_api.g_miss_char, p_rec.attribute82),
	    	   DECODE(p_rec.attribute83,null, fnd_api.g_miss_char, p_rec.attribute83),
	    	   DECODE(p_rec.attribute84,null, fnd_api.g_miss_char, p_rec.attribute84),
	    	   DECODE(p_rec.attribute85,null, fnd_api.g_miss_char, p_rec.attribute85),
	    	   DECODE(p_rec.attribute86,null, fnd_api.g_miss_char, p_rec.attribute86),
	    	   DECODE(p_rec.attribute87,null, fnd_api.g_miss_char, p_rec.attribute87),
               DECODE(p_rec.attribute88,null, fnd_api.g_miss_char, p_rec.attribute88),
               DECODE(p_rec.attribute89,null, fnd_api.g_miss_char, p_rec.attribute89),
               DECODE(p_rec.attribute90,null, fnd_api.g_miss_char, p_rec.attribute90),
               DECODE(p_rec.attribute91,null, fnd_api.g_miss_char, p_rec.attribute91),
	    	   DECODE(p_rec.attribute92,null, fnd_api.g_miss_char, p_rec.attribute92),
	    	   DECODE(p_rec.attribute93,null, fnd_api.g_miss_char, p_rec.attribute93),
	    	   DECODE(p_rec.attribute94,null, fnd_api.g_miss_char, p_rec.attribute94),
	    	   DECODE(p_rec.attribute95,null, fnd_api.g_miss_char, p_rec.attribute95),
	    	   DECODE(p_rec.attribute96,null, fnd_api.g_miss_char, p_rec.attribute96),
	    	   DECODE(p_rec.attribute97,null, fnd_api.g_miss_char, p_rec.attribute97),
               DECODE(p_rec.attribute98,null, fnd_api.g_miss_char, p_rec.attribute98),
               DECODE(p_rec.attribute99,null, fnd_api.g_miss_char, p_rec.attribute99),
               DECODE(p_rec.attribute100,null, fnd_api.g_miss_char, p_rec.attribute100),
		   DECODE(p_rec.direct_salesrep_number,null,fnd_api.g_miss_char, p_rec.direct_salesrep_number),
		DECODE(p_rec.comm_lines_api_id,null,fnd_api.g_miss_num, p_rec.comm_lines_api_id),
		   DECODE(p_rec.rollup_date,NULL, fnd_api.g_miss_date,
		          p_rec.rollup_date),
		   DECODE(p_rec.source_doc_type,NULL, fnd_api.g_miss_char,
		          p_rec.source_doc_type),
		   DECODE(p_rec.orig_currency_code,NULL, fnd_api.g_miss_char,
		          p_rec.orig_currency_code),
		   DECODE(p_rec.exchange_rate,NULL, fnd_api.g_miss_num,
		          p_rec.exchange_rate),
		   DECODE(p_rec.transaction_amount_orig,NULL, fnd_api.g_miss_num,
		          p_rec.transaction_amount_orig),
		   DECODE(p_rec.trx_id,NULL, fnd_api.g_miss_num,
		          p_rec.trx_id),
		   DECODE(p_rec.trx_line_id,NULL, fnd_api.g_miss_num,
		          p_rec.trx_line_id),
		   DECODE(p_rec.trx_sales_line_id,NULL, fnd_api.g_miss_num,
		          p_rec.trx_sales_line_id),
		   DECODE(p_rec.quantity,NULL, fnd_api.g_miss_num,
		          p_rec.quantity),
		   DECODE(p_rec.source_trx_number,NULL, fnd_api.g_miss_char,
		          p_rec.source_trx_number),
		   DECODE(p_rec.discount_percentage,NULL, fnd_api.g_miss_num,
		          p_rec.discount_percentage),
		   DECODE(p_rec.margin_percentage,NULL, fnd_api.g_miss_num,
		          p_rec.margin_percentage),
		   DECODE(p_rec.forecast_id,NULL, fnd_api.g_miss_num,
		          p_rec.forecast_id),
		   DECODE(p_rec.upside_quantity,NULL, fnd_api.g_miss_num,
		          p_rec.upside_quantity),
		   DECODE(p_rec.upside_amount,NULL, fnd_api.g_miss_num,
		          p_rec.upside_amount),
		   DECODE(p_rec.uom_code,NULL, fnd_api.g_miss_char,
		          p_rec.uom_code),
		DECODE(p_rec.source_trx_id,NULL, fnd_api.g_miss_num,
		          p_rec.source_trx_id),
		DECODE(p_rec.source_trx_line_id,NULL, fnd_api.g_miss_num,
		          p_rec.source_trx_line_id),
		DECODE(p_rec.source_trx_sales_line_id,NULL, fnd_api.g_miss_num,
		          p_rec.source_trx_sales_line_id),
		   DECODE(p_rec.customer_id, NULL, fnd_api.g_miss_num, p_rec.customer_id),
                   DECODE(p_rec.inventory_item_id, NULL, fnd_api.g_miss_num,p_rec.inventory_item_id),
		   DECODE(p_rec.order_number,NULL, fnd_api.g_miss_num,
		          p_rec.order_number),
		   DECODE(p_rec.order_date,NULL, fnd_api.g_miss_date,
		          p_rec.order_date),
		   DECODE(p_rec.invoice_number,NULL, fnd_api.g_miss_char,
		          p_rec.invoice_number),
		   DECODE(p_rec.invoice_date,NULL, fnd_api.g_miss_date,
		          p_rec.invoice_date),
		   DECODE(p_rec.bill_to_address_id,NULL, fnd_api.g_miss_num,
		          p_rec.bill_to_address_id),
		   DECODE(p_rec.ship_to_address_id,NULL, fnd_api.g_miss_num,
		          p_rec.ship_to_address_id),
		   DECODE(p_rec.bill_to_contact_id,NULL, fnd_api.g_miss_num,
		          p_rec.bill_to_contact_id),
		   DECODE(p_rec.ship_to_contact_id,NULL, fnd_api.g_miss_num,
		          p_rec.ship_to_contact_id),
		   DECODE(p_rec.adj_comm_lines_api_id,NULL, fnd_api.g_miss_num,
		          p_rec.adj_comm_lines_api_id),
		   DECODE(p_rec.adjust_date,NULL, fnd_api.g_miss_date,
		          p_rec.adjust_date),
		   DECODE(p_rec.adjusted_by,NULL, fnd_api.g_miss_char,
		          p_rec.adjusted_by),
		   DECODE(p_rec.revenue_type,NULL, fnd_api.g_miss_char,
		          p_rec.revenue_type),
		   DECODE(p_rec.adjust_rollup_flag,NULL, fnd_api.g_miss_char,
		          p_rec.adjust_rollup_flag),
		   DECODE(p_rec.adjust_comments,NULL, fnd_api.g_miss_char,
		          p_rec.adjust_comments),
		   DECODE(p_rec.adjust_status,NULL, fnd_api.g_miss_char,
		          p_rec.adjust_status),
		   DECODE(p_rec.line_number,NULL, fnd_api.g_miss_num,
		          p_rec.line_number),
		   DECODE(p_rec.reason_code,NULL, fnd_api.g_miss_char,
		          p_rec.reason_code),
		   DECODE(p_rec.attribute_category,NULL, fnd_api.g_miss_char,
		          p_rec.attribute_category),
		   DECODE(p_rec.type,NULL, fnd_api.g_miss_char,
		          p_rec.type),
		   DECODE(p_rec.pre_processed_code,NULL, fnd_api.g_miss_char,
		          p_rec.pre_processed_code),
		   DECODE(p_rec.quota_id,NULL, fnd_api.g_miss_num,
		          p_rec.quota_id),
		   DECODE(p_rec.srp_plan_assign_id,NULL, fnd_api.g_miss_num,
		          p_rec.srp_plan_assign_id),
		   DECODE(p_rec.role_id,NULL, fnd_api.g_miss_num,
		          p_rec.role_id),
		   DECODE(p_rec.comp_group_id,NULL, fnd_api.g_miss_num,
		          p_rec.comp_group_id),
		   DECODE(p_rec.commission_amount,NULL, fnd_api.g_miss_num,
		          p_rec.commission_amount),
		   DECODE(p_rec.sales_channel,NULL, fnd_api.g_miss_char,
		          p_rec.sales_channel),
		   DECODE(p_rec.split_pct,NULL, fnd_api.g_miss_num,
		          p_rec.split_pct),
		   DECODE(p_rec.split_status,NULL, fnd_api.g_miss_char,
		          p_rec.split_status),
           DECODE(p_rec.org_id,NULL, fnd_api.g_miss_num,
			  p_rec.org_id),
           DECODE(p_rec.terr_id,NULL, fnd_api.g_miss_num,
			  p_rec.terr_id),
           DECODE(p_rec.preserve_credit_override_flag,NULL, fnd_api.g_miss_char,
			  p_rec.preserve_credit_override_flag)
	      INTO x_api_rec.direct_salesrep_id,x_api_rec.processed_date,
                   x_api_rec.processed_period_id,x_api_rec.transaction_amount,
                   x_api_rec.trx_type,x_api_rec.revenue_class_id,
                   x_api_rec.load_status,
	           x_api_rec.attribute1,x_api_rec.attribute2,
	           x_api_rec.attribute3,x_api_rec.attribute4,
	           x_api_rec.attribute5,x_api_rec.attribute6,
	           x_api_rec.attribute7,x_api_rec.attribute8,
	           x_api_rec.attribute9,x_api_rec.attribute10,
	           x_api_rec.attribute11,x_api_rec.attribute12,
	           x_api_rec.attribute13,x_api_rec.attribute14,
	           x_api_rec.attribute15,x_api_rec.attribute16,
	           x_api_rec.attribute17,x_api_rec.attribute18,
	           x_api_rec.attribute19,x_api_rec.attribute20,
	           x_api_rec.attribute21,x_api_rec.attribute22,
	           x_api_rec.attribute23,x_api_rec.attribute24,
	           x_api_rec.attribute25,x_api_rec.attribute26,
	           x_api_rec.attribute27,x_api_rec.attribute28,
	           x_api_rec.attribute29,x_api_rec.attribute30,
	           x_api_rec.attribute31,x_api_rec.attribute32,
	           x_api_rec.attribute33,x_api_rec.attribute34,
	           x_api_rec.attribute35,x_api_rec.attribute36,
	           x_api_rec.attribute37,x_api_rec.attribute38,
	           x_api_rec.attribute39,x_api_rec.attribute40,
	           x_api_rec.attribute41,x_api_rec.attribute42,
	           x_api_rec.attribute43,x_api_rec.attribute44,
	           x_api_rec.attribute45,x_api_rec.attribute46,
	           x_api_rec.attribute47,x_api_rec.attribute48,
	           x_api_rec.attribute49,x_api_rec.attribute50,
	           x_api_rec.attribute51,x_api_rec.attribute52,
	           x_api_rec.attribute53,x_api_rec.attribute54,
	           x_api_rec.attribute55,x_api_rec.attribute56,
	           x_api_rec.attribute57,x_api_rec.attribute58,
	           x_api_rec.attribute59,x_api_rec.attribute60,
	           x_api_rec.attribute61,x_api_rec.attribute62,
	           x_api_rec.attribute63,x_api_rec.attribute64,
	           x_api_rec.attribute65,x_api_rec.attribute66,
	           x_api_rec.attribute67,x_api_rec.attribute68,
	           x_api_rec.attribute69,x_api_rec.attribute70,
	           x_api_rec.attribute71,x_api_rec.attribute72,
	           x_api_rec.attribute73,x_api_rec.attribute74,
	           x_api_rec.attribute75,x_api_rec.attribute76,
	           x_api_rec.attribute77,x_api_rec.attribute78,
	           x_api_rec.attribute79,x_api_rec.attribute80,
	           x_api_rec.attribute81,x_api_rec.attribute82,
	           x_api_rec.attribute83,x_api_rec.attribute84,
	           x_api_rec.attribute85,x_api_rec.attribute86,
	           x_api_rec.attribute87,x_api_rec.attribute88,
	           x_api_rec.attribute89,x_api_rec.attribute90,
	           x_api_rec.attribute91,x_api_rec.attribute92,
	           x_api_rec.attribute93,x_api_rec.attribute94,
	           x_api_rec.attribute95,x_api_rec.attribute96,
	           x_api_rec.attribute97,x_api_rec.attribute98,
	           x_api_rec.attribute99,x_api_rec.attribute100,
                   x_api_rec.direct_salesrep_number,x_api_rec.comm_lines_api_id,
                   x_api_rec.rollup_date,
                   x_api_rec.source_doc_type,
                   x_api_rec.orig_currency_code,
                   x_api_rec.exchange_rate,
		   x_api_rec.transaction_amount_orig,
                   x_api_rec.trx_id,x_api_rec.trx_line_id,
                   x_api_rec.trx_sales_line_id,x_api_rec.quantity,
                   x_api_rec.source_trx_number,
                   x_api_rec.discount_percentage,
                   x_api_rec.margin_percentage,
            	   x_api_rec.forecast_id,
                   x_api_rec.upside_quantity,x_api_rec.upside_amount,
                   x_api_rec.uom_code,x_api_rec.source_trx_id,
                   x_api_rec.source_trx_line_id,
                   x_api_rec.source_trx_sales_line_id,
		   x_api_rec.customer_id,
                   x_api_rec.inventory_item_id,x_api_rec.order_number,
                   x_api_rec.order_date,x_api_rec.invoice_number,
                   x_api_rec.invoice_date,x_api_rec.bill_to_address_id,
                   x_api_rec.ship_to_address_id,x_api_rec.bill_to_contact_id,
                   x_api_rec.ship_to_contact_id,x_api_rec.adj_comm_lines_api_id,
                   x_api_rec.adjust_date,x_api_rec.adjusted_by,
                   x_api_rec.revenue_type,x_api_rec.adjust_rollup_flag,
                   x_api_rec.adjust_comments,x_api_rec.adjust_status,
                   x_api_rec.line_number,x_api_rec.reason_code,
                   x_api_rec.attribute_category,x_api_rec.type,
                   x_api_rec.pre_processed_code,x_api_rec.quota_id,
                   x_api_rec.srp_plan_assign_id,x_api_rec.role_id,
                   x_api_rec.comp_group_id,x_api_rec.commission_amount,
                   x_api_rec.sales_channel,x_api_rec.split_pct,
                   x_api_rec.split_status,
                   x_api_rec.org_id,
                   x_api_rec.terr_id,
                   x_api_rec.preserve_credit_override_flag
	      FROM DUAL;