DBA Data[Home] [Help]

PACKAGE BODY: APPS.AMS_IS_LINE_PVT_W

Source


1 package body ams_is_line_pvt_w as
2   /* $Header: amswislb.pls 120.2 2005/10/18 03:02 rmbhanda ship $ */
3   rosetta_g_mistake_date date := to_date('01/01/+4713', 'MM/DD/SYYYY');
4   rosetta_g_miss_date date := to_date('01/01/-4712', 'MM/DD/SYYYY');
5 
6   -- this is to workaround the JDBC bug regarding IN DATE of value GMiss
7   function rosetta_g_miss_date_in_map(d date) return date as
8   begin
9     if d = rosetta_g_mistake_date then return fnd_api.g_miss_date; end if;
10     return d;
11   end;
12 
13   function rosetta_g_miss_num_map(n number) return number as
14     a number := fnd_api.g_miss_num;
15     b number := 0-1962.0724;
16   begin
17     if n=a then return b; end if;
18     if n=b then return a; end if;
19     return n;
20   end;
21 
22   procedure rosetta_table_copy_in_p3(t out nocopy ams_is_line_pvt.is_line_tbl_type, a0 JTF_NUMBER_TABLE
23     , a1 JTF_NUMBER_TABLE
24     , a2 JTF_DATE_TABLE
25     , a3 JTF_NUMBER_TABLE
26     , a4 JTF_DATE_TABLE
27     , a5 JTF_NUMBER_TABLE
28     , a6 JTF_NUMBER_TABLE
29     , a7 JTF_NUMBER_TABLE
30     , a8 JTF_VARCHAR2_TABLE_100
31     , a9 JTF_VARCHAR2_TABLE_100
32     , a10 JTF_VARCHAR2_TABLE_4000
33     , a11 JTF_DATE_TABLE
34     , a12 JTF_NUMBER_TABLE
35     , a13 JTF_VARCHAR2_TABLE_500
36     , a14 JTF_VARCHAR2_TABLE_2000
37     , a15 JTF_VARCHAR2_TABLE_2000
38     , a16 JTF_VARCHAR2_TABLE_2000
39     , a17 JTF_VARCHAR2_TABLE_2000
40     , a18 JTF_VARCHAR2_TABLE_2000
41     , a19 JTF_VARCHAR2_TABLE_2000
42     , a20 JTF_VARCHAR2_TABLE_2000
43     , a21 JTF_VARCHAR2_TABLE_2000
44     , a22 JTF_VARCHAR2_TABLE_2000
45     , a23 JTF_VARCHAR2_TABLE_2000
46     , a24 JTF_VARCHAR2_TABLE_2000
47     , a25 JTF_VARCHAR2_TABLE_2000
48     , a26 JTF_VARCHAR2_TABLE_2000
49     , a27 JTF_VARCHAR2_TABLE_2000
50     , a28 JTF_VARCHAR2_TABLE_2000
51     , a29 JTF_VARCHAR2_TABLE_2000
52     , a30 JTF_VARCHAR2_TABLE_2000
53     , a31 JTF_VARCHAR2_TABLE_2000
54     , a32 JTF_VARCHAR2_TABLE_2000
55     , a33 JTF_VARCHAR2_TABLE_2000
56     , a34 JTF_VARCHAR2_TABLE_2000
57     , a35 JTF_VARCHAR2_TABLE_2000
58     , a36 JTF_VARCHAR2_TABLE_2000
59     , a37 JTF_VARCHAR2_TABLE_2000
60     , a38 JTF_VARCHAR2_TABLE_2000
61     , a39 JTF_VARCHAR2_TABLE_2000
62     , a40 JTF_VARCHAR2_TABLE_2000
63     , a41 JTF_VARCHAR2_TABLE_2000
64     , a42 JTF_VARCHAR2_TABLE_2000
65     , a43 JTF_VARCHAR2_TABLE_2000
66     , a44 JTF_VARCHAR2_TABLE_2000
67     , a45 JTF_VARCHAR2_TABLE_2000
68     , a46 JTF_VARCHAR2_TABLE_2000
69     , a47 JTF_VARCHAR2_TABLE_2000
70     , a48 JTF_VARCHAR2_TABLE_2000
71     , a49 JTF_VARCHAR2_TABLE_2000
72     , a50 JTF_VARCHAR2_TABLE_2000
73     , a51 JTF_VARCHAR2_TABLE_2000
74     , a52 JTF_VARCHAR2_TABLE_2000
75     , a53 JTF_VARCHAR2_TABLE_2000
76     , a54 JTF_VARCHAR2_TABLE_2000
77     , a55 JTF_VARCHAR2_TABLE_2000
78     , a56 JTF_VARCHAR2_TABLE_2000
79     , a57 JTF_VARCHAR2_TABLE_2000
80     , a58 JTF_VARCHAR2_TABLE_2000
81     , a59 JTF_VARCHAR2_TABLE_2000
82     , a60 JTF_VARCHAR2_TABLE_2000
83     , a61 JTF_VARCHAR2_TABLE_2000
84     , a62 JTF_VARCHAR2_TABLE_2000
85     , a63 JTF_VARCHAR2_TABLE_2000
86     , a64 JTF_VARCHAR2_TABLE_2000
87     , a65 JTF_VARCHAR2_TABLE_2000
88     , a66 JTF_VARCHAR2_TABLE_2000
89     , a67 JTF_VARCHAR2_TABLE_2000
90     , a68 JTF_VARCHAR2_TABLE_2000
91     , a69 JTF_VARCHAR2_TABLE_2000
92     , a70 JTF_VARCHAR2_TABLE_2000
93     , a71 JTF_VARCHAR2_TABLE_2000
94     , a72 JTF_VARCHAR2_TABLE_2000
95     , a73 JTF_VARCHAR2_TABLE_2000
96     , a74 JTF_VARCHAR2_TABLE_2000
97     , a75 JTF_VARCHAR2_TABLE_2000
98     , a76 JTF_VARCHAR2_TABLE_2000
99     , a77 JTF_VARCHAR2_TABLE_2000
100     , a78 JTF_VARCHAR2_TABLE_2000
101     , a79 JTF_VARCHAR2_TABLE_2000
102     , a80 JTF_VARCHAR2_TABLE_2000
103     , a81 JTF_VARCHAR2_TABLE_2000
104     , a82 JTF_VARCHAR2_TABLE_2000
105     , a83 JTF_VARCHAR2_TABLE_2000
106     , a84 JTF_VARCHAR2_TABLE_2000
107     , a85 JTF_VARCHAR2_TABLE_2000
108     , a86 JTF_VARCHAR2_TABLE_2000
109     , a87 JTF_VARCHAR2_TABLE_2000
110     , a88 JTF_VARCHAR2_TABLE_2000
111     , a89 JTF_VARCHAR2_TABLE_2000
112     , a90 JTF_VARCHAR2_TABLE_2000
113     , a91 JTF_VARCHAR2_TABLE_2000
114     , a92 JTF_VARCHAR2_TABLE_2000
115     , a93 JTF_VARCHAR2_TABLE_2000
116     , a94 JTF_VARCHAR2_TABLE_2000
117     , a95 JTF_VARCHAR2_TABLE_2000
118     , a96 JTF_VARCHAR2_TABLE_2000
119     , a97 JTF_VARCHAR2_TABLE_2000
120     , a98 JTF_VARCHAR2_TABLE_2000
121     , a99 JTF_VARCHAR2_TABLE_2000
122     , a100 JTF_VARCHAR2_TABLE_2000
123     , a101 JTF_VARCHAR2_TABLE_2000
124     , a102 JTF_VARCHAR2_TABLE_2000
125     , a103 JTF_VARCHAR2_TABLE_2000
126     , a104 JTF_VARCHAR2_TABLE_2000
127     , a105 JTF_VARCHAR2_TABLE_2000
128     , a106 JTF_VARCHAR2_TABLE_2000
129     , a107 JTF_VARCHAR2_TABLE_2000
130     , a108 JTF_VARCHAR2_TABLE_2000
131     , a109 JTF_VARCHAR2_TABLE_2000
132     , a110 JTF_VARCHAR2_TABLE_2000
133     , a111 JTF_VARCHAR2_TABLE_2000
134     , a112 JTF_VARCHAR2_TABLE_2000
135     , a113 JTF_VARCHAR2_TABLE_2000
136     , a114 JTF_VARCHAR2_TABLE_2000
137     , a115 JTF_VARCHAR2_TABLE_2000
138     , a116 JTF_VARCHAR2_TABLE_2000
139     , a117 JTF_VARCHAR2_TABLE_2000
140     , a118 JTF_VARCHAR2_TABLE_2000
141     , a119 JTF_VARCHAR2_TABLE_2000
142     , a120 JTF_VARCHAR2_TABLE_2000
143     , a121 JTF_VARCHAR2_TABLE_2000
144     , a122 JTF_VARCHAR2_TABLE_2000
145     , a123 JTF_VARCHAR2_TABLE_2000
146     , a124 JTF_VARCHAR2_TABLE_2000
147     , a125 JTF_VARCHAR2_TABLE_2000
148     , a126 JTF_VARCHAR2_TABLE_2000
149     , a127 JTF_VARCHAR2_TABLE_2000
150     , a128 JTF_VARCHAR2_TABLE_2000
151     , a129 JTF_VARCHAR2_TABLE_2000
152     , a130 JTF_VARCHAR2_TABLE_2000
153     , a131 JTF_VARCHAR2_TABLE_2000
154     , a132 JTF_VARCHAR2_TABLE_2000
155     , a133 JTF_VARCHAR2_TABLE_2000
156     , a134 JTF_VARCHAR2_TABLE_2000
157     , a135 JTF_VARCHAR2_TABLE_2000
158     , a136 JTF_VARCHAR2_TABLE_2000
159     , a137 JTF_VARCHAR2_TABLE_2000
160     , a138 JTF_VARCHAR2_TABLE_2000
161     , a139 JTF_VARCHAR2_TABLE_2000
162     , a140 JTF_VARCHAR2_TABLE_2000
163     , a141 JTF_VARCHAR2_TABLE_2000
164     , a142 JTF_VARCHAR2_TABLE_2000
165     , a143 JTF_VARCHAR2_TABLE_2000
166     , a144 JTF_VARCHAR2_TABLE_2000
167     , a145 JTF_VARCHAR2_TABLE_2000
168     , a146 JTF_VARCHAR2_TABLE_2000
169     , a147 JTF_VARCHAR2_TABLE_2000
170     , a148 JTF_VARCHAR2_TABLE_2000
171     , a149 JTF_VARCHAR2_TABLE_2000
172     , a150 JTF_VARCHAR2_TABLE_2000
173     , a151 JTF_VARCHAR2_TABLE_2000
174     , a152 JTF_VARCHAR2_TABLE_2000
175     , a153 JTF_VARCHAR2_TABLE_2000
176     , a154 JTF_VARCHAR2_TABLE_2000
177     , a155 JTF_VARCHAR2_TABLE_2000
178     , a156 JTF_VARCHAR2_TABLE_2000
179     , a157 JTF_VARCHAR2_TABLE_2000
180     , a158 JTF_VARCHAR2_TABLE_2000
181     , a159 JTF_VARCHAR2_TABLE_2000
182     , a160 JTF_VARCHAR2_TABLE_2000
183     , a161 JTF_VARCHAR2_TABLE_2000
184     , a162 JTF_VARCHAR2_TABLE_2000
185     , a163 JTF_VARCHAR2_TABLE_2000
186     , a164 JTF_VARCHAR2_TABLE_2000
187     , a165 JTF_VARCHAR2_TABLE_2000
188     , a166 JTF_VARCHAR2_TABLE_2000
189     , a167 JTF_VARCHAR2_TABLE_2000
190     , a168 JTF_VARCHAR2_TABLE_2000
191     , a169 JTF_VARCHAR2_TABLE_2000
192     , a170 JTF_VARCHAR2_TABLE_2000
193     , a171 JTF_VARCHAR2_TABLE_2000
194     , a172 JTF_VARCHAR2_TABLE_2000
195     , a173 JTF_VARCHAR2_TABLE_2000
196     , a174 JTF_VARCHAR2_TABLE_2000
197     , a175 JTF_VARCHAR2_TABLE_2000
198     , a176 JTF_VARCHAR2_TABLE_2000
199     , a177 JTF_VARCHAR2_TABLE_2000
200     , a178 JTF_VARCHAR2_TABLE_2000
201     , a179 JTF_VARCHAR2_TABLE_2000
202     , a180 JTF_VARCHAR2_TABLE_2000
203     , a181 JTF_VARCHAR2_TABLE_2000
204     , a182 JTF_VARCHAR2_TABLE_2000
205     , a183 JTF_VARCHAR2_TABLE_2000
206     , a184 JTF_VARCHAR2_TABLE_2000
207     , a185 JTF_VARCHAR2_TABLE_2000
208     , a186 JTF_VARCHAR2_TABLE_2000
209     , a187 JTF_VARCHAR2_TABLE_2000
210     , a188 JTF_VARCHAR2_TABLE_2000
211     , a189 JTF_VARCHAR2_TABLE_2000
212     , a190 JTF_VARCHAR2_TABLE_2000
213     , a191 JTF_VARCHAR2_TABLE_2000
214     , a192 JTF_VARCHAR2_TABLE_2000
215     , a193 JTF_VARCHAR2_TABLE_2000
216     , a194 JTF_VARCHAR2_TABLE_2000
217     , a195 JTF_VARCHAR2_TABLE_2000
218     , a196 JTF_VARCHAR2_TABLE_2000
219     , a197 JTF_VARCHAR2_TABLE_2000
220     , a198 JTF_VARCHAR2_TABLE_2000
221     , a199 JTF_VARCHAR2_TABLE_2000
222     , a200 JTF_VARCHAR2_TABLE_2000
223     , a201 JTF_VARCHAR2_TABLE_2000
224     , a202 JTF_VARCHAR2_TABLE_2000
225     , a203 JTF_VARCHAR2_TABLE_2000
226     , a204 JTF_VARCHAR2_TABLE_2000
227     , a205 JTF_VARCHAR2_TABLE_2000
228     , a206 JTF_VARCHAR2_TABLE_2000
229     , a207 JTF_VARCHAR2_TABLE_2000
230     , a208 JTF_VARCHAR2_TABLE_2000
231     , a209 JTF_VARCHAR2_TABLE_2000
232     , a210 JTF_VARCHAR2_TABLE_2000
233     , a211 JTF_VARCHAR2_TABLE_2000
234     , a212 JTF_VARCHAR2_TABLE_2000
235     , a213 JTF_VARCHAR2_TABLE_2000
236     , a214 JTF_VARCHAR2_TABLE_2000
237     , a215 JTF_VARCHAR2_TABLE_2000
238     , a216 JTF_VARCHAR2_TABLE_2000
239     , a217 JTF_VARCHAR2_TABLE_2000
240     , a218 JTF_VARCHAR2_TABLE_2000
241     , a219 JTF_VARCHAR2_TABLE_2000
242     , a220 JTF_VARCHAR2_TABLE_2000
243     , a221 JTF_VARCHAR2_TABLE_2000
244     , a222 JTF_VARCHAR2_TABLE_2000
245     , a223 JTF_VARCHAR2_TABLE_2000
246     , a224 JTF_VARCHAR2_TABLE_2000
247     , a225 JTF_VARCHAR2_TABLE_2000
248     , a226 JTF_VARCHAR2_TABLE_2000
249     , a227 JTF_VARCHAR2_TABLE_2000
250     , a228 JTF_VARCHAR2_TABLE_2000
251     , a229 JTF_VARCHAR2_TABLE_2000
252     , a230 JTF_VARCHAR2_TABLE_2000
253     , a231 JTF_VARCHAR2_TABLE_2000
254     , a232 JTF_VARCHAR2_TABLE_2000
255     , a233 JTF_VARCHAR2_TABLE_2000
256     , a234 JTF_VARCHAR2_TABLE_2000
257     , a235 JTF_VARCHAR2_TABLE_2000
258     , a236 JTF_VARCHAR2_TABLE_2000
259     , a237 JTF_VARCHAR2_TABLE_2000
260     , a238 JTF_VARCHAR2_TABLE_2000
261     , a239 JTF_VARCHAR2_TABLE_2000
262     , a240 JTF_VARCHAR2_TABLE_2000
263     , a241 JTF_VARCHAR2_TABLE_2000
264     , a242 JTF_VARCHAR2_TABLE_2000
265     , a243 JTF_VARCHAR2_TABLE_2000
266     , a244 JTF_VARCHAR2_TABLE_2000
267     , a245 JTF_VARCHAR2_TABLE_2000
268     , a246 JTF_VARCHAR2_TABLE_2000
269     , a247 JTF_VARCHAR2_TABLE_2000
270     , a248 JTF_VARCHAR2_TABLE_2000
271     , a249 JTF_VARCHAR2_TABLE_2000
272     , a250 JTF_VARCHAR2_TABLE_2000
273     , a251 JTF_VARCHAR2_TABLE_2000
274     , a252 JTF_VARCHAR2_TABLE_2000
275     , a253 JTF_VARCHAR2_TABLE_2000
276     , a254 JTF_VARCHAR2_TABLE_4000
277     , a255 JTF_VARCHAR2_TABLE_4000
278     , a256 JTF_VARCHAR2_TABLE_4000
279     , a257 JTF_VARCHAR2_TABLE_4000
280     , a258 JTF_VARCHAR2_TABLE_4000
281     , a259 JTF_VARCHAR2_TABLE_4000
282     , a260 JTF_VARCHAR2_TABLE_4000
283     , a261 JTF_VARCHAR2_TABLE_4000
284     , a262 JTF_VARCHAR2_TABLE_4000
285     , a263 JTF_VARCHAR2_TABLE_4000
286     , a264 JTF_VARCHAR2_TABLE_100
287     , a265 JTF_NUMBER_TABLE
288     , a266 JTF_VARCHAR2_TABLE_100
289     , a267 JTF_VARCHAR2_TABLE_4000
290     , a268 JTF_VARCHAR2_TABLE_2000
291     , a269 JTF_VARCHAR2_TABLE_100
292     , a270 JTF_VARCHAR2_TABLE_2000
293     , a271 JTF_VARCHAR2_TABLE_2000
294     , a272 JTF_VARCHAR2_TABLE_2000
295     , a273 JTF_VARCHAR2_TABLE_2000
296     , a274 JTF_VARCHAR2_TABLE_2000
297     , a275 JTF_VARCHAR2_TABLE_2000
298     , a276 JTF_VARCHAR2_TABLE_2000
299     , a277 JTF_VARCHAR2_TABLE_2000
300     , a278 JTF_VARCHAR2_TABLE_2000
301     , a279 JTF_VARCHAR2_TABLE_2000
302     , a280 JTF_VARCHAR2_TABLE_2000
303     , a281 JTF_VARCHAR2_TABLE_2000
304     , a282 JTF_VARCHAR2_TABLE_2000
305     , a283 JTF_VARCHAR2_TABLE_2000
306     , a284 JTF_VARCHAR2_TABLE_2000
307     , a285 JTF_VARCHAR2_TABLE_2000
308     , a286 JTF_VARCHAR2_TABLE_2000
309     , a287 JTF_VARCHAR2_TABLE_2000
310     , a288 JTF_VARCHAR2_TABLE_2000
311     , a289 JTF_VARCHAR2_TABLE_2000
312     , a290 JTF_VARCHAR2_TABLE_2000
313     , a291 JTF_VARCHAR2_TABLE_2000
314     , a292 JTF_VARCHAR2_TABLE_2000
315     , a293 JTF_VARCHAR2_TABLE_2000
316     , a294 JTF_VARCHAR2_TABLE_2000
317     ) as
318     ddindx binary_integer; indx binary_integer;
319   begin
320   if a0 is not null and a0.count > 0 then
321       if a0.count > 0 then
322         indx := a0.first;
323         ddindx := 1;
324         while true loop
325           t(ddindx).import_source_line_id := rosetta_g_miss_num_map(a0(indx));
326           t(ddindx).object_version_number := rosetta_g_miss_num_map(a1(indx));
327           t(ddindx).last_update_date := rosetta_g_miss_date_in_map(a2(indx));
328           t(ddindx).last_updated_by := rosetta_g_miss_num_map(a3(indx));
329           t(ddindx).creation_date := rosetta_g_miss_date_in_map(a4(indx));
330           t(ddindx).created_by := rosetta_g_miss_num_map(a5(indx));
331           t(ddindx).last_update_login := rosetta_g_miss_num_map(a6(indx));
332           t(ddindx).import_list_header_id := rosetta_g_miss_num_map(a7(indx));
333           t(ddindx).import_successful_flag := a8(indx);
334           t(ddindx).enabled_flag := a9(indx);
335           t(ddindx).import_failure_reason := a10(indx);
336           t(ddindx).re_import_last_done_date := rosetta_g_miss_date_in_map(a11(indx));
337           t(ddindx).party_id := rosetta_g_miss_num_map(a12(indx));
338           t(ddindx).dedupe_key := a13(indx);
339           t(ddindx).col1 := a14(indx);
340           t(ddindx).col2 := a15(indx);
341           t(ddindx).col3 := a16(indx);
342           t(ddindx).col4 := a17(indx);
343           t(ddindx).col5 := a18(indx);
344           t(ddindx).col6 := a19(indx);
345           t(ddindx).col7 := a20(indx);
346           t(ddindx).col8 := a21(indx);
347           t(ddindx).col9 := a22(indx);
348           t(ddindx).col10 := a23(indx);
349           t(ddindx).col11 := a24(indx);
350           t(ddindx).col12 := a25(indx);
351           t(ddindx).col13 := a26(indx);
352           t(ddindx).col14 := a27(indx);
353           t(ddindx).col15 := a28(indx);
354           t(ddindx).col16 := a29(indx);
355           t(ddindx).col17 := a30(indx);
356           t(ddindx).col18 := a31(indx);
357           t(ddindx).col19 := a32(indx);
358           t(ddindx).col20 := a33(indx);
359           t(ddindx).col21 := a34(indx);
360           t(ddindx).col22 := a35(indx);
361           t(ddindx).col23 := a36(indx);
362           t(ddindx).col24 := a37(indx);
363           t(ddindx).col25 := a38(indx);
364           t(ddindx).col26 := a39(indx);
365           t(ddindx).col27 := a40(indx);
366           t(ddindx).col28 := a41(indx);
367           t(ddindx).col29 := a42(indx);
368           t(ddindx).col30 := a43(indx);
369           t(ddindx).col31 := a44(indx);
370           t(ddindx).col32 := a45(indx);
371           t(ddindx).col33 := a46(indx);
372           t(ddindx).col34 := a47(indx);
373           t(ddindx).col35 := a48(indx);
374           t(ddindx).col36 := a49(indx);
375           t(ddindx).col37 := a50(indx);
376           t(ddindx).col38 := a51(indx);
377           t(ddindx).col39 := a52(indx);
378           t(ddindx).col40 := a53(indx);
379           t(ddindx).col41 := a54(indx);
380           t(ddindx).col42 := a55(indx);
381           t(ddindx).col43 := a56(indx);
382           t(ddindx).col44 := a57(indx);
383           t(ddindx).col45 := a58(indx);
384           t(ddindx).col46 := a59(indx);
385           t(ddindx).col47 := a60(indx);
386           t(ddindx).col48 := a61(indx);
387           t(ddindx).col49 := a62(indx);
388           t(ddindx).col50 := a63(indx);
389           t(ddindx).col51 := a64(indx);
390           t(ddindx).col52 := a65(indx);
391           t(ddindx).col53 := a66(indx);
392           t(ddindx).col54 := a67(indx);
393           t(ddindx).col55 := a68(indx);
394           t(ddindx).col56 := a69(indx);
395           t(ddindx).col57 := a70(indx);
399           t(ddindx).col61 := a74(indx);
396           t(ddindx).col58 := a71(indx);
397           t(ddindx).col59 := a72(indx);
398           t(ddindx).col60 := a73(indx);
400           t(ddindx).col62 := a75(indx);
401           t(ddindx).col63 := a76(indx);
402           t(ddindx).col64 := a77(indx);
403           t(ddindx).col65 := a78(indx);
404           t(ddindx).col66 := a79(indx);
405           t(ddindx).col67 := a80(indx);
406           t(ddindx).col68 := a81(indx);
407           t(ddindx).col69 := a82(indx);
408           t(ddindx).col70 := a83(indx);
409           t(ddindx).col71 := a84(indx);
410           t(ddindx).col72 := a85(indx);
411           t(ddindx).col73 := a86(indx);
412           t(ddindx).col74 := a87(indx);
413           t(ddindx).col75 := a88(indx);
414           t(ddindx).col76 := a89(indx);
415           t(ddindx).col77 := a90(indx);
416           t(ddindx).col78 := a91(indx);
417           t(ddindx).col79 := a92(indx);
418           t(ddindx).col80 := a93(indx);
419           t(ddindx).col81 := a94(indx);
420           t(ddindx).col82 := a95(indx);
421           t(ddindx).col83 := a96(indx);
422           t(ddindx).col84 := a97(indx);
423           t(ddindx).col85 := a98(indx);
424           t(ddindx).col86 := a99(indx);
425           t(ddindx).col87 := a100(indx);
426           t(ddindx).col88 := a101(indx);
427           t(ddindx).col89 := a102(indx);
428           t(ddindx).col90 := a103(indx);
429           t(ddindx).col91 := a104(indx);
430           t(ddindx).col92 := a105(indx);
431           t(ddindx).col93 := a106(indx);
432           t(ddindx).col94 := a107(indx);
433           t(ddindx).col95 := a108(indx);
434           t(ddindx).col96 := a109(indx);
435           t(ddindx).col97 := a110(indx);
436           t(ddindx).col98 := a111(indx);
437           t(ddindx).col99 := a112(indx);
438           t(ddindx).col100 := a113(indx);
439           t(ddindx).col101 := a114(indx);
440           t(ddindx).col102 := a115(indx);
441           t(ddindx).col103 := a116(indx);
442           t(ddindx).col104 := a117(indx);
443           t(ddindx).col105 := a118(indx);
444           t(ddindx).col106 := a119(indx);
445           t(ddindx).col107 := a120(indx);
446           t(ddindx).col108 := a121(indx);
447           t(ddindx).col109 := a122(indx);
448           t(ddindx).col110 := a123(indx);
449           t(ddindx).col111 := a124(indx);
450           t(ddindx).col112 := a125(indx);
451           t(ddindx).col113 := a126(indx);
452           t(ddindx).col114 := a127(indx);
453           t(ddindx).col115 := a128(indx);
454           t(ddindx).col116 := a129(indx);
455           t(ddindx).col117 := a130(indx);
456           t(ddindx).col118 := a131(indx);
457           t(ddindx).col119 := a132(indx);
458           t(ddindx).col120 := a133(indx);
459           t(ddindx).col121 := a134(indx);
460           t(ddindx).col122 := a135(indx);
461           t(ddindx).col123 := a136(indx);
462           t(ddindx).col124 := a137(indx);
463           t(ddindx).col125 := a138(indx);
464           t(ddindx).col126 := a139(indx);
465           t(ddindx).col127 := a140(indx);
466           t(ddindx).col128 := a141(indx);
467           t(ddindx).col129 := a142(indx);
468           t(ddindx).col130 := a143(indx);
469           t(ddindx).col131 := a144(indx);
470           t(ddindx).col132 := a145(indx);
471           t(ddindx).col133 := a146(indx);
472           t(ddindx).col134 := a147(indx);
473           t(ddindx).col135 := a148(indx);
474           t(ddindx).col136 := a149(indx);
475           t(ddindx).col137 := a150(indx);
476           t(ddindx).col138 := a151(indx);
477           t(ddindx).col139 := a152(indx);
478           t(ddindx).col140 := a153(indx);
479           t(ddindx).col141 := a154(indx);
480           t(ddindx).col142 := a155(indx);
481           t(ddindx).col143 := a156(indx);
482           t(ddindx).col144 := a157(indx);
483           t(ddindx).col145 := a158(indx);
484           t(ddindx).col146 := a159(indx);
485           t(ddindx).col147 := a160(indx);
486           t(ddindx).col148 := a161(indx);
487           t(ddindx).col149 := a162(indx);
488           t(ddindx).col150 := a163(indx);
489           t(ddindx).col151 := a164(indx);
490           t(ddindx).col152 := a165(indx);
491           t(ddindx).col153 := a166(indx);
492           t(ddindx).col154 := a167(indx);
493           t(ddindx).col155 := a168(indx);
494           t(ddindx).col156 := a169(indx);
495           t(ddindx).col157 := a170(indx);
496           t(ddindx).col158 := a171(indx);
497           t(ddindx).col159 := a172(indx);
498           t(ddindx).col160 := a173(indx);
499           t(ddindx).col161 := a174(indx);
500           t(ddindx).col162 := a175(indx);
501           t(ddindx).col163 := a176(indx);
502           t(ddindx).col164 := a177(indx);
503           t(ddindx).col165 := a178(indx);
504           t(ddindx).col166 := a179(indx);
505           t(ddindx).col167 := a180(indx);
506           t(ddindx).col168 := a181(indx);
507           t(ddindx).col169 := a182(indx);
508           t(ddindx).col170 := a183(indx);
509           t(ddindx).col171 := a184(indx);
510           t(ddindx).col172 := a185(indx);
511           t(ddindx).col173 := a186(indx);
512           t(ddindx).col174 := a187(indx);
513           t(ddindx).col175 := a188(indx);
514           t(ddindx).col176 := a189(indx);
515           t(ddindx).col177 := a190(indx);
516           t(ddindx).col178 := a191(indx);
520           t(ddindx).col182 := a195(indx);
517           t(ddindx).col179 := a192(indx);
518           t(ddindx).col180 := a193(indx);
519           t(ddindx).col181 := a194(indx);
521           t(ddindx).col183 := a196(indx);
522           t(ddindx).col184 := a197(indx);
523           t(ddindx).col185 := a198(indx);
524           t(ddindx).col186 := a199(indx);
525           t(ddindx).col187 := a200(indx);
526           t(ddindx).col188 := a201(indx);
527           t(ddindx).col189 := a202(indx);
528           t(ddindx).col190 := a203(indx);
529           t(ddindx).col191 := a204(indx);
530           t(ddindx).col192 := a205(indx);
531           t(ddindx).col193 := a206(indx);
532           t(ddindx).col194 := a207(indx);
533           t(ddindx).col195 := a208(indx);
534           t(ddindx).col196 := a209(indx);
535           t(ddindx).col197 := a210(indx);
536           t(ddindx).col198 := a211(indx);
537           t(ddindx).col199 := a212(indx);
538           t(ddindx).col200 := a213(indx);
539           t(ddindx).col201 := a214(indx);
540           t(ddindx).col202 := a215(indx);
541           t(ddindx).col203 := a216(indx);
542           t(ddindx).col204 := a217(indx);
543           t(ddindx).col205 := a218(indx);
544           t(ddindx).col206 := a219(indx);
545           t(ddindx).col207 := a220(indx);
546           t(ddindx).col208 := a221(indx);
547           t(ddindx).col209 := a222(indx);
548           t(ddindx).col210 := a223(indx);
549           t(ddindx).col211 := a224(indx);
550           t(ddindx).col212 := a225(indx);
551           t(ddindx).col213 := a226(indx);
552           t(ddindx).col214 := a227(indx);
553           t(ddindx).col215 := a228(indx);
554           t(ddindx).col216 := a229(indx);
555           t(ddindx).col217 := a230(indx);
556           t(ddindx).col218 := a231(indx);
557           t(ddindx).col219 := a232(indx);
558           t(ddindx).col220 := a233(indx);
559           t(ddindx).col221 := a234(indx);
560           t(ddindx).col222 := a235(indx);
561           t(ddindx).col223 := a236(indx);
562           t(ddindx).col224 := a237(indx);
563           t(ddindx).col225 := a238(indx);
564           t(ddindx).col226 := a239(indx);
565           t(ddindx).col227 := a240(indx);
566           t(ddindx).col228 := a241(indx);
567           t(ddindx).col229 := a242(indx);
568           t(ddindx).col230 := a243(indx);
569           t(ddindx).col231 := a244(indx);
570           t(ddindx).col232 := a245(indx);
571           t(ddindx).col233 := a246(indx);
572           t(ddindx).col234 := a247(indx);
573           t(ddindx).col235 := a248(indx);
574           t(ddindx).col236 := a249(indx);
575           t(ddindx).col237 := a250(indx);
576           t(ddindx).col238 := a251(indx);
577           t(ddindx).col239 := a252(indx);
578           t(ddindx).col240 := a253(indx);
579           t(ddindx).col241 := a254(indx);
580           t(ddindx).col242 := a255(indx);
581           t(ddindx).col243 := a256(indx);
582           t(ddindx).col244 := a257(indx);
583           t(ddindx).col245 := a258(indx);
584           t(ddindx).col246 := a259(indx);
585           t(ddindx).col247 := a260(indx);
586           t(ddindx).col248 := a261(indx);
587           t(ddindx).col249 := a262(indx);
588           t(ddindx).col250 := a263(indx);
589           t(ddindx).duplicate_flag := a264(indx);
590           t(ddindx).current_usage := rosetta_g_miss_num_map(a265(indx));
591           t(ddindx).load_status := a266(indx);
592           t(ddindx).notes := a267(indx);
593           t(ddindx).sales_agent_email_address := a268(indx);
594           t(ddindx).vehicle_response_code := a269(indx);
595           t(ddindx).custom_column1 := a270(indx);
596           t(ddindx).custom_column2 := a271(indx);
597           t(ddindx).custom_column3 := a272(indx);
598           t(ddindx).custom_column4 := a273(indx);
599           t(ddindx).custom_column5 := a274(indx);
600           t(ddindx).custom_column6 := a275(indx);
601           t(ddindx).custom_column7 := a276(indx);
602           t(ddindx).custom_column8 := a277(indx);
603           t(ddindx).custom_column9 := a278(indx);
604           t(ddindx).custom_column10 := a279(indx);
605           t(ddindx).custom_column11 := a280(indx);
606           t(ddindx).custom_column12 := a281(indx);
607           t(ddindx).custom_column13 := a282(indx);
608           t(ddindx).custom_column14 := a283(indx);
609           t(ddindx).custom_column15 := a284(indx);
610           t(ddindx).custom_column16 := a285(indx);
611           t(ddindx).custom_column17 := a286(indx);
612           t(ddindx).custom_column18 := a287(indx);
613           t(ddindx).custom_column19 := a288(indx);
614           t(ddindx).custom_column20 := a289(indx);
615           t(ddindx).custom_column21 := a290(indx);
616           t(ddindx).custom_column22 := a291(indx);
617           t(ddindx).custom_column23 := a292(indx);
618           t(ddindx).custom_column24 := a293(indx);
619           t(ddindx).custom_column25 := a294(indx);
620           ddindx := ddindx+1;
621           if a0.last =indx
622             then exit;
623           end if;
624           indx := a0.next(indx);
625         end loop;
626       end if;
627    end if;
628   end rosetta_table_copy_in_p3;
629   procedure rosetta_table_copy_out_p3(t ams_is_line_pvt.is_line_tbl_type, a0 out nocopy JTF_NUMBER_TABLE
630     , a1 out nocopy JTF_NUMBER_TABLE
631     , a2 out nocopy JTF_DATE_TABLE
632     , a3 out nocopy JTF_NUMBER_TABLE
636     , a7 out nocopy JTF_NUMBER_TABLE
633     , a4 out nocopy JTF_DATE_TABLE
634     , a5 out nocopy JTF_NUMBER_TABLE
635     , a6 out nocopy JTF_NUMBER_TABLE
637     , a8 out nocopy JTF_VARCHAR2_TABLE_100
638     , a9 out nocopy JTF_VARCHAR2_TABLE_100
639     , a10 out nocopy JTF_VARCHAR2_TABLE_4000
640     , a11 out nocopy JTF_DATE_TABLE
641     , a12 out nocopy JTF_NUMBER_TABLE
642     , a13 out nocopy JTF_VARCHAR2_TABLE_500
643     , a14 out nocopy JTF_VARCHAR2_TABLE_2000
644     , a15 out nocopy JTF_VARCHAR2_TABLE_2000
645     , a16 out nocopy JTF_VARCHAR2_TABLE_2000
646     , a17 out nocopy JTF_VARCHAR2_TABLE_2000
647     , a18 out nocopy JTF_VARCHAR2_TABLE_2000
648     , a19 out nocopy JTF_VARCHAR2_TABLE_2000
649     , a20 out nocopy JTF_VARCHAR2_TABLE_2000
650     , a21 out nocopy JTF_VARCHAR2_TABLE_2000
651     , a22 out nocopy JTF_VARCHAR2_TABLE_2000
652     , a23 out nocopy JTF_VARCHAR2_TABLE_2000
653     , a24 out nocopy JTF_VARCHAR2_TABLE_2000
654     , a25 out nocopy JTF_VARCHAR2_TABLE_2000
655     , a26 out nocopy JTF_VARCHAR2_TABLE_2000
656     , a27 out nocopy JTF_VARCHAR2_TABLE_2000
657     , a28 out nocopy JTF_VARCHAR2_TABLE_2000
658     , a29 out nocopy JTF_VARCHAR2_TABLE_2000
659     , a30 out nocopy JTF_VARCHAR2_TABLE_2000
660     , a31 out nocopy JTF_VARCHAR2_TABLE_2000
661     , a32 out nocopy JTF_VARCHAR2_TABLE_2000
662     , a33 out nocopy JTF_VARCHAR2_TABLE_2000
663     , a34 out nocopy JTF_VARCHAR2_TABLE_2000
664     , a35 out nocopy JTF_VARCHAR2_TABLE_2000
665     , a36 out nocopy JTF_VARCHAR2_TABLE_2000
666     , a37 out nocopy JTF_VARCHAR2_TABLE_2000
667     , a38 out nocopy JTF_VARCHAR2_TABLE_2000
668     , a39 out nocopy JTF_VARCHAR2_TABLE_2000
669     , a40 out nocopy JTF_VARCHAR2_TABLE_2000
670     , a41 out nocopy JTF_VARCHAR2_TABLE_2000
671     , a42 out nocopy JTF_VARCHAR2_TABLE_2000
672     , a43 out nocopy JTF_VARCHAR2_TABLE_2000
673     , a44 out nocopy JTF_VARCHAR2_TABLE_2000
674     , a45 out nocopy JTF_VARCHAR2_TABLE_2000
675     , a46 out nocopy JTF_VARCHAR2_TABLE_2000
676     , a47 out nocopy JTF_VARCHAR2_TABLE_2000
677     , a48 out nocopy JTF_VARCHAR2_TABLE_2000
678     , a49 out nocopy JTF_VARCHAR2_TABLE_2000
679     , a50 out nocopy JTF_VARCHAR2_TABLE_2000
680     , a51 out nocopy JTF_VARCHAR2_TABLE_2000
681     , a52 out nocopy JTF_VARCHAR2_TABLE_2000
682     , a53 out nocopy JTF_VARCHAR2_TABLE_2000
683     , a54 out nocopy JTF_VARCHAR2_TABLE_2000
684     , a55 out nocopy JTF_VARCHAR2_TABLE_2000
685     , a56 out nocopy JTF_VARCHAR2_TABLE_2000
686     , a57 out nocopy JTF_VARCHAR2_TABLE_2000
687     , a58 out nocopy JTF_VARCHAR2_TABLE_2000
688     , a59 out nocopy JTF_VARCHAR2_TABLE_2000
689     , a60 out nocopy JTF_VARCHAR2_TABLE_2000
690     , a61 out nocopy JTF_VARCHAR2_TABLE_2000
691     , a62 out nocopy JTF_VARCHAR2_TABLE_2000
692     , a63 out nocopy JTF_VARCHAR2_TABLE_2000
693     , a64 out nocopy JTF_VARCHAR2_TABLE_2000
694     , a65 out nocopy JTF_VARCHAR2_TABLE_2000
695     , a66 out nocopy JTF_VARCHAR2_TABLE_2000
696     , a67 out nocopy JTF_VARCHAR2_TABLE_2000
697     , a68 out nocopy JTF_VARCHAR2_TABLE_2000
698     , a69 out nocopy JTF_VARCHAR2_TABLE_2000
699     , a70 out nocopy JTF_VARCHAR2_TABLE_2000
700     , a71 out nocopy JTF_VARCHAR2_TABLE_2000
701     , a72 out nocopy JTF_VARCHAR2_TABLE_2000
702     , a73 out nocopy JTF_VARCHAR2_TABLE_2000
703     , a74 out nocopy JTF_VARCHAR2_TABLE_2000
704     , a75 out nocopy JTF_VARCHAR2_TABLE_2000
705     , a76 out nocopy JTF_VARCHAR2_TABLE_2000
706     , a77 out nocopy JTF_VARCHAR2_TABLE_2000
707     , a78 out nocopy JTF_VARCHAR2_TABLE_2000
708     , a79 out nocopy JTF_VARCHAR2_TABLE_2000
709     , a80 out nocopy JTF_VARCHAR2_TABLE_2000
710     , a81 out nocopy JTF_VARCHAR2_TABLE_2000
711     , a82 out nocopy JTF_VARCHAR2_TABLE_2000
712     , a83 out nocopy JTF_VARCHAR2_TABLE_2000
713     , a84 out nocopy JTF_VARCHAR2_TABLE_2000
714     , a85 out nocopy JTF_VARCHAR2_TABLE_2000
715     , a86 out nocopy JTF_VARCHAR2_TABLE_2000
716     , a87 out nocopy JTF_VARCHAR2_TABLE_2000
717     , a88 out nocopy JTF_VARCHAR2_TABLE_2000
718     , a89 out nocopy JTF_VARCHAR2_TABLE_2000
719     , a90 out nocopy JTF_VARCHAR2_TABLE_2000
720     , a91 out nocopy JTF_VARCHAR2_TABLE_2000
721     , a92 out nocopy JTF_VARCHAR2_TABLE_2000
722     , a93 out nocopy JTF_VARCHAR2_TABLE_2000
723     , a94 out nocopy JTF_VARCHAR2_TABLE_2000
724     , a95 out nocopy JTF_VARCHAR2_TABLE_2000
725     , a96 out nocopy JTF_VARCHAR2_TABLE_2000
726     , a97 out nocopy JTF_VARCHAR2_TABLE_2000
727     , a98 out nocopy JTF_VARCHAR2_TABLE_2000
728     , a99 out nocopy JTF_VARCHAR2_TABLE_2000
729     , a100 out nocopy JTF_VARCHAR2_TABLE_2000
730     , a101 out nocopy JTF_VARCHAR2_TABLE_2000
731     , a102 out nocopy JTF_VARCHAR2_TABLE_2000
732     , a103 out nocopy JTF_VARCHAR2_TABLE_2000
733     , a104 out nocopy JTF_VARCHAR2_TABLE_2000
734     , a105 out nocopy JTF_VARCHAR2_TABLE_2000
735     , a106 out nocopy JTF_VARCHAR2_TABLE_2000
736     , a107 out nocopy JTF_VARCHAR2_TABLE_2000
737     , a108 out nocopy JTF_VARCHAR2_TABLE_2000
738     , a109 out nocopy JTF_VARCHAR2_TABLE_2000
739     , a110 out nocopy JTF_VARCHAR2_TABLE_2000
740     , a111 out nocopy JTF_VARCHAR2_TABLE_2000
741     , a112 out nocopy JTF_VARCHAR2_TABLE_2000
742     , a113 out nocopy JTF_VARCHAR2_TABLE_2000
743     , a114 out nocopy JTF_VARCHAR2_TABLE_2000
744     , a115 out nocopy JTF_VARCHAR2_TABLE_2000
745     , a116 out nocopy JTF_VARCHAR2_TABLE_2000
749     , a120 out nocopy JTF_VARCHAR2_TABLE_2000
746     , a117 out nocopy JTF_VARCHAR2_TABLE_2000
747     , a118 out nocopy JTF_VARCHAR2_TABLE_2000
748     , a119 out nocopy JTF_VARCHAR2_TABLE_2000
750     , a121 out nocopy JTF_VARCHAR2_TABLE_2000
751     , a122 out nocopy JTF_VARCHAR2_TABLE_2000
752     , a123 out nocopy JTF_VARCHAR2_TABLE_2000
753     , a124 out nocopy JTF_VARCHAR2_TABLE_2000
754     , a125 out nocopy JTF_VARCHAR2_TABLE_2000
755     , a126 out nocopy JTF_VARCHAR2_TABLE_2000
756     , a127 out nocopy JTF_VARCHAR2_TABLE_2000
757     , a128 out nocopy JTF_VARCHAR2_TABLE_2000
758     , a129 out nocopy JTF_VARCHAR2_TABLE_2000
759     , a130 out nocopy JTF_VARCHAR2_TABLE_2000
760     , a131 out nocopy JTF_VARCHAR2_TABLE_2000
761     , a132 out nocopy JTF_VARCHAR2_TABLE_2000
762     , a133 out nocopy JTF_VARCHAR2_TABLE_2000
763     , a134 out nocopy JTF_VARCHAR2_TABLE_2000
764     , a135 out nocopy JTF_VARCHAR2_TABLE_2000
765     , a136 out nocopy JTF_VARCHAR2_TABLE_2000
766     , a137 out nocopy JTF_VARCHAR2_TABLE_2000
767     , a138 out nocopy JTF_VARCHAR2_TABLE_2000
768     , a139 out nocopy JTF_VARCHAR2_TABLE_2000
769     , a140 out nocopy JTF_VARCHAR2_TABLE_2000
770     , a141 out nocopy JTF_VARCHAR2_TABLE_2000
771     , a142 out nocopy JTF_VARCHAR2_TABLE_2000
772     , a143 out nocopy JTF_VARCHAR2_TABLE_2000
773     , a144 out nocopy JTF_VARCHAR2_TABLE_2000
774     , a145 out nocopy JTF_VARCHAR2_TABLE_2000
775     , a146 out nocopy JTF_VARCHAR2_TABLE_2000
776     , a147 out nocopy JTF_VARCHAR2_TABLE_2000
777     , a148 out nocopy JTF_VARCHAR2_TABLE_2000
778     , a149 out nocopy JTF_VARCHAR2_TABLE_2000
779     , a150 out nocopy JTF_VARCHAR2_TABLE_2000
780     , a151 out nocopy JTF_VARCHAR2_TABLE_2000
781     , a152 out nocopy JTF_VARCHAR2_TABLE_2000
782     , a153 out nocopy JTF_VARCHAR2_TABLE_2000
783     , a154 out nocopy JTF_VARCHAR2_TABLE_2000
784     , a155 out nocopy JTF_VARCHAR2_TABLE_2000
785     , a156 out nocopy JTF_VARCHAR2_TABLE_2000
786     , a157 out nocopy JTF_VARCHAR2_TABLE_2000
787     , a158 out nocopy JTF_VARCHAR2_TABLE_2000
788     , a159 out nocopy JTF_VARCHAR2_TABLE_2000
789     , a160 out nocopy JTF_VARCHAR2_TABLE_2000
790     , a161 out nocopy JTF_VARCHAR2_TABLE_2000
791     , a162 out nocopy JTF_VARCHAR2_TABLE_2000
792     , a163 out nocopy JTF_VARCHAR2_TABLE_2000
793     , a164 out nocopy JTF_VARCHAR2_TABLE_2000
794     , a165 out nocopy JTF_VARCHAR2_TABLE_2000
795     , a166 out nocopy JTF_VARCHAR2_TABLE_2000
796     , a167 out nocopy JTF_VARCHAR2_TABLE_2000
797     , a168 out nocopy JTF_VARCHAR2_TABLE_2000
798     , a169 out nocopy JTF_VARCHAR2_TABLE_2000
799     , a170 out nocopy JTF_VARCHAR2_TABLE_2000
800     , a171 out nocopy JTF_VARCHAR2_TABLE_2000
801     , a172 out nocopy JTF_VARCHAR2_TABLE_2000
802     , a173 out nocopy JTF_VARCHAR2_TABLE_2000
803     , a174 out nocopy JTF_VARCHAR2_TABLE_2000
804     , a175 out nocopy JTF_VARCHAR2_TABLE_2000
805     , a176 out nocopy JTF_VARCHAR2_TABLE_2000
806     , a177 out nocopy JTF_VARCHAR2_TABLE_2000
807     , a178 out nocopy JTF_VARCHAR2_TABLE_2000
808     , a179 out nocopy JTF_VARCHAR2_TABLE_2000
809     , a180 out nocopy JTF_VARCHAR2_TABLE_2000
810     , a181 out nocopy JTF_VARCHAR2_TABLE_2000
811     , a182 out nocopy JTF_VARCHAR2_TABLE_2000
812     , a183 out nocopy JTF_VARCHAR2_TABLE_2000
813     , a184 out nocopy JTF_VARCHAR2_TABLE_2000
814     , a185 out nocopy JTF_VARCHAR2_TABLE_2000
815     , a186 out nocopy JTF_VARCHAR2_TABLE_2000
816     , a187 out nocopy JTF_VARCHAR2_TABLE_2000
817     , a188 out nocopy JTF_VARCHAR2_TABLE_2000
818     , a189 out nocopy JTF_VARCHAR2_TABLE_2000
819     , a190 out nocopy JTF_VARCHAR2_TABLE_2000
820     , a191 out nocopy JTF_VARCHAR2_TABLE_2000
821     , a192 out nocopy JTF_VARCHAR2_TABLE_2000
822     , a193 out nocopy JTF_VARCHAR2_TABLE_2000
823     , a194 out nocopy JTF_VARCHAR2_TABLE_2000
824     , a195 out nocopy JTF_VARCHAR2_TABLE_2000
825     , a196 out nocopy JTF_VARCHAR2_TABLE_2000
826     , a197 out nocopy JTF_VARCHAR2_TABLE_2000
827     , a198 out nocopy JTF_VARCHAR2_TABLE_2000
828     , a199 out nocopy JTF_VARCHAR2_TABLE_2000
829     , a200 out nocopy JTF_VARCHAR2_TABLE_2000
830     , a201 out nocopy JTF_VARCHAR2_TABLE_2000
831     , a202 out nocopy JTF_VARCHAR2_TABLE_2000
832     , a203 out nocopy JTF_VARCHAR2_TABLE_2000
833     , a204 out nocopy JTF_VARCHAR2_TABLE_2000
834     , a205 out nocopy JTF_VARCHAR2_TABLE_2000
835     , a206 out nocopy JTF_VARCHAR2_TABLE_2000
836     , a207 out nocopy JTF_VARCHAR2_TABLE_2000
837     , a208 out nocopy JTF_VARCHAR2_TABLE_2000
838     , a209 out nocopy JTF_VARCHAR2_TABLE_2000
839     , a210 out nocopy JTF_VARCHAR2_TABLE_2000
840     , a211 out nocopy JTF_VARCHAR2_TABLE_2000
841     , a212 out nocopy JTF_VARCHAR2_TABLE_2000
842     , a213 out nocopy JTF_VARCHAR2_TABLE_2000
843     , a214 out nocopy JTF_VARCHAR2_TABLE_2000
844     , a215 out nocopy JTF_VARCHAR2_TABLE_2000
845     , a216 out nocopy JTF_VARCHAR2_TABLE_2000
846     , a217 out nocopy JTF_VARCHAR2_TABLE_2000
847     , a218 out nocopy JTF_VARCHAR2_TABLE_2000
848     , a219 out nocopy JTF_VARCHAR2_TABLE_2000
849     , a220 out nocopy JTF_VARCHAR2_TABLE_2000
850     , a221 out nocopy JTF_VARCHAR2_TABLE_2000
851     , a222 out nocopy JTF_VARCHAR2_TABLE_2000
852     , a223 out nocopy JTF_VARCHAR2_TABLE_2000
853     , a224 out nocopy JTF_VARCHAR2_TABLE_2000
854     , a225 out nocopy JTF_VARCHAR2_TABLE_2000
855     , a226 out nocopy JTF_VARCHAR2_TABLE_2000
859     , a230 out nocopy JTF_VARCHAR2_TABLE_2000
856     , a227 out nocopy JTF_VARCHAR2_TABLE_2000
857     , a228 out nocopy JTF_VARCHAR2_TABLE_2000
858     , a229 out nocopy JTF_VARCHAR2_TABLE_2000
860     , a231 out nocopy JTF_VARCHAR2_TABLE_2000
861     , a232 out nocopy JTF_VARCHAR2_TABLE_2000
862     , a233 out nocopy JTF_VARCHAR2_TABLE_2000
863     , a234 out nocopy JTF_VARCHAR2_TABLE_2000
864     , a235 out nocopy JTF_VARCHAR2_TABLE_2000
865     , a236 out nocopy JTF_VARCHAR2_TABLE_2000
866     , a237 out nocopy JTF_VARCHAR2_TABLE_2000
867     , a238 out nocopy JTF_VARCHAR2_TABLE_2000
868     , a239 out nocopy JTF_VARCHAR2_TABLE_2000
869     , a240 out nocopy JTF_VARCHAR2_TABLE_2000
870     , a241 out nocopy JTF_VARCHAR2_TABLE_2000
871     , a242 out nocopy JTF_VARCHAR2_TABLE_2000
872     , a243 out nocopy JTF_VARCHAR2_TABLE_2000
873     , a244 out nocopy JTF_VARCHAR2_TABLE_2000
874     , a245 out nocopy JTF_VARCHAR2_TABLE_2000
875     , a246 out nocopy JTF_VARCHAR2_TABLE_2000
876     , a247 out nocopy JTF_VARCHAR2_TABLE_2000
877     , a248 out nocopy JTF_VARCHAR2_TABLE_2000
878     , a249 out nocopy JTF_VARCHAR2_TABLE_2000
879     , a250 out nocopy JTF_VARCHAR2_TABLE_2000
880     , a251 out nocopy JTF_VARCHAR2_TABLE_2000
881     , a252 out nocopy JTF_VARCHAR2_TABLE_2000
882     , a253 out nocopy JTF_VARCHAR2_TABLE_2000
883     , a254 out nocopy JTF_VARCHAR2_TABLE_4000
884     , a255 out nocopy JTF_VARCHAR2_TABLE_4000
885     , a256 out nocopy JTF_VARCHAR2_TABLE_4000
886     , a257 out nocopy JTF_VARCHAR2_TABLE_4000
887     , a258 out nocopy JTF_VARCHAR2_TABLE_4000
888     , a259 out nocopy JTF_VARCHAR2_TABLE_4000
889     , a260 out nocopy JTF_VARCHAR2_TABLE_4000
890     , a261 out nocopy JTF_VARCHAR2_TABLE_4000
891     , a262 out nocopy JTF_VARCHAR2_TABLE_4000
892     , a263 out nocopy JTF_VARCHAR2_TABLE_4000
893     , a264 out nocopy JTF_VARCHAR2_TABLE_100
894     , a265 out nocopy JTF_NUMBER_TABLE
895     , a266 out nocopy JTF_VARCHAR2_TABLE_100
896     , a267 out nocopy JTF_VARCHAR2_TABLE_4000
897     , a268 out nocopy JTF_VARCHAR2_TABLE_2000
898     , a269 out nocopy JTF_VARCHAR2_TABLE_100
899     , a270 out nocopy JTF_VARCHAR2_TABLE_2000
900     , a271 out nocopy JTF_VARCHAR2_TABLE_2000
901     , a272 out nocopy JTF_VARCHAR2_TABLE_2000
902     , a273 out nocopy JTF_VARCHAR2_TABLE_2000
903     , a274 out nocopy JTF_VARCHAR2_TABLE_2000
904     , a275 out nocopy JTF_VARCHAR2_TABLE_2000
905     , a276 out nocopy JTF_VARCHAR2_TABLE_2000
906     , a277 out nocopy JTF_VARCHAR2_TABLE_2000
907     , a278 out nocopy JTF_VARCHAR2_TABLE_2000
908     , a279 out nocopy JTF_VARCHAR2_TABLE_2000
909     , a280 out nocopy JTF_VARCHAR2_TABLE_2000
910     , a281 out nocopy JTF_VARCHAR2_TABLE_2000
911     , a282 out nocopy JTF_VARCHAR2_TABLE_2000
912     , a283 out nocopy JTF_VARCHAR2_TABLE_2000
913     , a284 out nocopy JTF_VARCHAR2_TABLE_2000
914     , a285 out nocopy JTF_VARCHAR2_TABLE_2000
915     , a286 out nocopy JTF_VARCHAR2_TABLE_2000
916     , a287 out nocopy JTF_VARCHAR2_TABLE_2000
917     , a288 out nocopy JTF_VARCHAR2_TABLE_2000
918     , a289 out nocopy JTF_VARCHAR2_TABLE_2000
919     , a290 out nocopy JTF_VARCHAR2_TABLE_2000
920     , a291 out nocopy JTF_VARCHAR2_TABLE_2000
921     , a292 out nocopy JTF_VARCHAR2_TABLE_2000
922     , a293 out nocopy JTF_VARCHAR2_TABLE_2000
923     , a294 out nocopy JTF_VARCHAR2_TABLE_2000
924     ) as
925     ddindx binary_integer; indx binary_integer;
926   begin
927   if t is null or t.count = 0 then
928     a0 := JTF_NUMBER_TABLE();
929     a1 := JTF_NUMBER_TABLE();
930     a2 := JTF_DATE_TABLE();
931     a3 := JTF_NUMBER_TABLE();
932     a4 := JTF_DATE_TABLE();
933     a5 := JTF_NUMBER_TABLE();
934     a6 := JTF_NUMBER_TABLE();
935     a7 := JTF_NUMBER_TABLE();
936     a8 := JTF_VARCHAR2_TABLE_100();
937     a9 := JTF_VARCHAR2_TABLE_100();
938     a10 := JTF_VARCHAR2_TABLE_4000();
939     a11 := JTF_DATE_TABLE();
940     a12 := JTF_NUMBER_TABLE();
941     a13 := JTF_VARCHAR2_TABLE_500();
942     a14 := JTF_VARCHAR2_TABLE_2000();
943     a15 := JTF_VARCHAR2_TABLE_2000();
944     a16 := JTF_VARCHAR2_TABLE_2000();
945     a17 := JTF_VARCHAR2_TABLE_2000();
946     a18 := JTF_VARCHAR2_TABLE_2000();
947     a19 := JTF_VARCHAR2_TABLE_2000();
948     a20 := JTF_VARCHAR2_TABLE_2000();
949     a21 := JTF_VARCHAR2_TABLE_2000();
950     a22 := JTF_VARCHAR2_TABLE_2000();
951     a23 := JTF_VARCHAR2_TABLE_2000();
952     a24 := JTF_VARCHAR2_TABLE_2000();
953     a25 := JTF_VARCHAR2_TABLE_2000();
954     a26 := JTF_VARCHAR2_TABLE_2000();
955     a27 := JTF_VARCHAR2_TABLE_2000();
956     a28 := JTF_VARCHAR2_TABLE_2000();
957     a29 := JTF_VARCHAR2_TABLE_2000();
958     a30 := JTF_VARCHAR2_TABLE_2000();
959     a31 := JTF_VARCHAR2_TABLE_2000();
960     a32 := JTF_VARCHAR2_TABLE_2000();
961     a33 := JTF_VARCHAR2_TABLE_2000();
962     a34 := JTF_VARCHAR2_TABLE_2000();
963     a35 := JTF_VARCHAR2_TABLE_2000();
964     a36 := JTF_VARCHAR2_TABLE_2000();
965     a37 := JTF_VARCHAR2_TABLE_2000();
966     a38 := JTF_VARCHAR2_TABLE_2000();
967     a39 := JTF_VARCHAR2_TABLE_2000();
968     a40 := JTF_VARCHAR2_TABLE_2000();
969     a41 := JTF_VARCHAR2_TABLE_2000();
970     a42 := JTF_VARCHAR2_TABLE_2000();
971     a43 := JTF_VARCHAR2_TABLE_2000();
972     a44 := JTF_VARCHAR2_TABLE_2000();
973     a45 := JTF_VARCHAR2_TABLE_2000();
974     a46 := JTF_VARCHAR2_TABLE_2000();
975     a47 := JTF_VARCHAR2_TABLE_2000();
979     a51 := JTF_VARCHAR2_TABLE_2000();
976     a48 := JTF_VARCHAR2_TABLE_2000();
977     a49 := JTF_VARCHAR2_TABLE_2000();
978     a50 := JTF_VARCHAR2_TABLE_2000();
980     a52 := JTF_VARCHAR2_TABLE_2000();
981     a53 := JTF_VARCHAR2_TABLE_2000();
982     a54 := JTF_VARCHAR2_TABLE_2000();
983     a55 := JTF_VARCHAR2_TABLE_2000();
984     a56 := JTF_VARCHAR2_TABLE_2000();
985     a57 := JTF_VARCHAR2_TABLE_2000();
986     a58 := JTF_VARCHAR2_TABLE_2000();
987     a59 := JTF_VARCHAR2_TABLE_2000();
988     a60 := JTF_VARCHAR2_TABLE_2000();
989     a61 := JTF_VARCHAR2_TABLE_2000();
990     a62 := JTF_VARCHAR2_TABLE_2000();
991     a63 := JTF_VARCHAR2_TABLE_2000();
992     a64 := JTF_VARCHAR2_TABLE_2000();
993     a65 := JTF_VARCHAR2_TABLE_2000();
994     a66 := JTF_VARCHAR2_TABLE_2000();
995     a67 := JTF_VARCHAR2_TABLE_2000();
996     a68 := JTF_VARCHAR2_TABLE_2000();
997     a69 := JTF_VARCHAR2_TABLE_2000();
998     a70 := JTF_VARCHAR2_TABLE_2000();
999     a71 := JTF_VARCHAR2_TABLE_2000();
1000     a72 := JTF_VARCHAR2_TABLE_2000();
1001     a73 := JTF_VARCHAR2_TABLE_2000();
1002     a74 := JTF_VARCHAR2_TABLE_2000();
1003     a75 := JTF_VARCHAR2_TABLE_2000();
1004     a76 := JTF_VARCHAR2_TABLE_2000();
1005     a77 := JTF_VARCHAR2_TABLE_2000();
1006     a78 := JTF_VARCHAR2_TABLE_2000();
1007     a79 := JTF_VARCHAR2_TABLE_2000();
1008     a80 := JTF_VARCHAR2_TABLE_2000();
1009     a81 := JTF_VARCHAR2_TABLE_2000();
1010     a82 := JTF_VARCHAR2_TABLE_2000();
1011     a83 := JTF_VARCHAR2_TABLE_2000();
1012     a84 := JTF_VARCHAR2_TABLE_2000();
1013     a85 := JTF_VARCHAR2_TABLE_2000();
1014     a86 := JTF_VARCHAR2_TABLE_2000();
1015     a87 := JTF_VARCHAR2_TABLE_2000();
1016     a88 := JTF_VARCHAR2_TABLE_2000();
1017     a89 := JTF_VARCHAR2_TABLE_2000();
1018     a90 := JTF_VARCHAR2_TABLE_2000();
1019     a91 := JTF_VARCHAR2_TABLE_2000();
1020     a92 := JTF_VARCHAR2_TABLE_2000();
1021     a93 := JTF_VARCHAR2_TABLE_2000();
1022     a94 := JTF_VARCHAR2_TABLE_2000();
1023     a95 := JTF_VARCHAR2_TABLE_2000();
1024     a96 := JTF_VARCHAR2_TABLE_2000();
1025     a97 := JTF_VARCHAR2_TABLE_2000();
1026     a98 := JTF_VARCHAR2_TABLE_2000();
1027     a99 := JTF_VARCHAR2_TABLE_2000();
1028     a100 := JTF_VARCHAR2_TABLE_2000();
1029     a101 := JTF_VARCHAR2_TABLE_2000();
1030     a102 := JTF_VARCHAR2_TABLE_2000();
1031     a103 := JTF_VARCHAR2_TABLE_2000();
1032     a104 := JTF_VARCHAR2_TABLE_2000();
1033     a105 := JTF_VARCHAR2_TABLE_2000();
1034     a106 := JTF_VARCHAR2_TABLE_2000();
1035     a107 := JTF_VARCHAR2_TABLE_2000();
1036     a108 := JTF_VARCHAR2_TABLE_2000();
1037     a109 := JTF_VARCHAR2_TABLE_2000();
1038     a110 := JTF_VARCHAR2_TABLE_2000();
1039     a111 := JTF_VARCHAR2_TABLE_2000();
1040     a112 := JTF_VARCHAR2_TABLE_2000();
1041     a113 := JTF_VARCHAR2_TABLE_2000();
1042     a114 := JTF_VARCHAR2_TABLE_2000();
1043     a115 := JTF_VARCHAR2_TABLE_2000();
1044     a116 := JTF_VARCHAR2_TABLE_2000();
1045     a117 := JTF_VARCHAR2_TABLE_2000();
1046     a118 := JTF_VARCHAR2_TABLE_2000();
1047     a119 := JTF_VARCHAR2_TABLE_2000();
1048     a120 := JTF_VARCHAR2_TABLE_2000();
1049     a121 := JTF_VARCHAR2_TABLE_2000();
1050     a122 := JTF_VARCHAR2_TABLE_2000();
1051     a123 := JTF_VARCHAR2_TABLE_2000();
1052     a124 := JTF_VARCHAR2_TABLE_2000();
1053     a125 := JTF_VARCHAR2_TABLE_2000();
1054     a126 := JTF_VARCHAR2_TABLE_2000();
1055     a127 := JTF_VARCHAR2_TABLE_2000();
1056     a128 := JTF_VARCHAR2_TABLE_2000();
1057     a129 := JTF_VARCHAR2_TABLE_2000();
1058     a130 := JTF_VARCHAR2_TABLE_2000();
1059     a131 := JTF_VARCHAR2_TABLE_2000();
1060     a132 := JTF_VARCHAR2_TABLE_2000();
1061     a133 := JTF_VARCHAR2_TABLE_2000();
1062     a134 := JTF_VARCHAR2_TABLE_2000();
1063     a135 := JTF_VARCHAR2_TABLE_2000();
1064     a136 := JTF_VARCHAR2_TABLE_2000();
1065     a137 := JTF_VARCHAR2_TABLE_2000();
1066     a138 := JTF_VARCHAR2_TABLE_2000();
1067     a139 := JTF_VARCHAR2_TABLE_2000();
1068     a140 := JTF_VARCHAR2_TABLE_2000();
1069     a141 := JTF_VARCHAR2_TABLE_2000();
1070     a142 := JTF_VARCHAR2_TABLE_2000();
1071     a143 := JTF_VARCHAR2_TABLE_2000();
1072     a144 := JTF_VARCHAR2_TABLE_2000();
1073     a145 := JTF_VARCHAR2_TABLE_2000();
1074     a146 := JTF_VARCHAR2_TABLE_2000();
1075     a147 := JTF_VARCHAR2_TABLE_2000();
1076     a148 := JTF_VARCHAR2_TABLE_2000();
1077     a149 := JTF_VARCHAR2_TABLE_2000();
1078     a150 := JTF_VARCHAR2_TABLE_2000();
1079     a151 := JTF_VARCHAR2_TABLE_2000();
1080     a152 := JTF_VARCHAR2_TABLE_2000();
1081     a153 := JTF_VARCHAR2_TABLE_2000();
1082     a154 := JTF_VARCHAR2_TABLE_2000();
1083     a155 := JTF_VARCHAR2_TABLE_2000();
1084     a156 := JTF_VARCHAR2_TABLE_2000();
1085     a157 := JTF_VARCHAR2_TABLE_2000();
1086     a158 := JTF_VARCHAR2_TABLE_2000();
1087     a159 := JTF_VARCHAR2_TABLE_2000();
1088     a160 := JTF_VARCHAR2_TABLE_2000();
1089     a161 := JTF_VARCHAR2_TABLE_2000();
1090     a162 := JTF_VARCHAR2_TABLE_2000();
1091     a163 := JTF_VARCHAR2_TABLE_2000();
1092     a164 := JTF_VARCHAR2_TABLE_2000();
1093     a165 := JTF_VARCHAR2_TABLE_2000();
1094     a166 := JTF_VARCHAR2_TABLE_2000();
1095     a167 := JTF_VARCHAR2_TABLE_2000();
1096     a168 := JTF_VARCHAR2_TABLE_2000();
1097     a169 := JTF_VARCHAR2_TABLE_2000();
1098     a170 := JTF_VARCHAR2_TABLE_2000();
1099     a171 := JTF_VARCHAR2_TABLE_2000();
1100     a172 := JTF_VARCHAR2_TABLE_2000();
1101     a173 := JTF_VARCHAR2_TABLE_2000();
1102     a174 := JTF_VARCHAR2_TABLE_2000();
1106     a178 := JTF_VARCHAR2_TABLE_2000();
1103     a175 := JTF_VARCHAR2_TABLE_2000();
1104     a176 := JTF_VARCHAR2_TABLE_2000();
1105     a177 := JTF_VARCHAR2_TABLE_2000();
1107     a179 := JTF_VARCHAR2_TABLE_2000();
1108     a180 := JTF_VARCHAR2_TABLE_2000();
1109     a181 := JTF_VARCHAR2_TABLE_2000();
1110     a182 := JTF_VARCHAR2_TABLE_2000();
1111     a183 := JTF_VARCHAR2_TABLE_2000();
1112     a184 := JTF_VARCHAR2_TABLE_2000();
1113     a185 := JTF_VARCHAR2_TABLE_2000();
1114     a186 := JTF_VARCHAR2_TABLE_2000();
1115     a187 := JTF_VARCHAR2_TABLE_2000();
1116     a188 := JTF_VARCHAR2_TABLE_2000();
1117     a189 := JTF_VARCHAR2_TABLE_2000();
1118     a190 := JTF_VARCHAR2_TABLE_2000();
1119     a191 := JTF_VARCHAR2_TABLE_2000();
1120     a192 := JTF_VARCHAR2_TABLE_2000();
1121     a193 := JTF_VARCHAR2_TABLE_2000();
1122     a194 := JTF_VARCHAR2_TABLE_2000();
1123     a195 := JTF_VARCHAR2_TABLE_2000();
1124     a196 := JTF_VARCHAR2_TABLE_2000();
1125     a197 := JTF_VARCHAR2_TABLE_2000();
1126     a198 := JTF_VARCHAR2_TABLE_2000();
1127     a199 := JTF_VARCHAR2_TABLE_2000();
1128     a200 := JTF_VARCHAR2_TABLE_2000();
1129     a201 := JTF_VARCHAR2_TABLE_2000();
1130     a202 := JTF_VARCHAR2_TABLE_2000();
1131     a203 := JTF_VARCHAR2_TABLE_2000();
1132     a204 := JTF_VARCHAR2_TABLE_2000();
1133     a205 := JTF_VARCHAR2_TABLE_2000();
1134     a206 := JTF_VARCHAR2_TABLE_2000();
1135     a207 := JTF_VARCHAR2_TABLE_2000();
1136     a208 := JTF_VARCHAR2_TABLE_2000();
1137     a209 := JTF_VARCHAR2_TABLE_2000();
1138     a210 := JTF_VARCHAR2_TABLE_2000();
1139     a211 := JTF_VARCHAR2_TABLE_2000();
1140     a212 := JTF_VARCHAR2_TABLE_2000();
1141     a213 := JTF_VARCHAR2_TABLE_2000();
1142     a214 := JTF_VARCHAR2_TABLE_2000();
1143     a215 := JTF_VARCHAR2_TABLE_2000();
1144     a216 := JTF_VARCHAR2_TABLE_2000();
1145     a217 := JTF_VARCHAR2_TABLE_2000();
1146     a218 := JTF_VARCHAR2_TABLE_2000();
1147     a219 := JTF_VARCHAR2_TABLE_2000();
1148     a220 := JTF_VARCHAR2_TABLE_2000();
1149     a221 := JTF_VARCHAR2_TABLE_2000();
1150     a222 := JTF_VARCHAR2_TABLE_2000();
1151     a223 := JTF_VARCHAR2_TABLE_2000();
1152     a224 := JTF_VARCHAR2_TABLE_2000();
1153     a225 := JTF_VARCHAR2_TABLE_2000();
1154     a226 := JTF_VARCHAR2_TABLE_2000();
1155     a227 := JTF_VARCHAR2_TABLE_2000();
1156     a228 := JTF_VARCHAR2_TABLE_2000();
1157     a229 := JTF_VARCHAR2_TABLE_2000();
1158     a230 := JTF_VARCHAR2_TABLE_2000();
1159     a231 := JTF_VARCHAR2_TABLE_2000();
1160     a232 := JTF_VARCHAR2_TABLE_2000();
1161     a233 := JTF_VARCHAR2_TABLE_2000();
1162     a234 := JTF_VARCHAR2_TABLE_2000();
1163     a235 := JTF_VARCHAR2_TABLE_2000();
1164     a236 := JTF_VARCHAR2_TABLE_2000();
1165     a237 := JTF_VARCHAR2_TABLE_2000();
1166     a238 := JTF_VARCHAR2_TABLE_2000();
1167     a239 := JTF_VARCHAR2_TABLE_2000();
1168     a240 := JTF_VARCHAR2_TABLE_2000();
1169     a241 := JTF_VARCHAR2_TABLE_2000();
1170     a242 := JTF_VARCHAR2_TABLE_2000();
1171     a243 := JTF_VARCHAR2_TABLE_2000();
1172     a244 := JTF_VARCHAR2_TABLE_2000();
1173     a245 := JTF_VARCHAR2_TABLE_2000();
1174     a246 := JTF_VARCHAR2_TABLE_2000();
1175     a247 := JTF_VARCHAR2_TABLE_2000();
1176     a248 := JTF_VARCHAR2_TABLE_2000();
1177     a249 := JTF_VARCHAR2_TABLE_2000();
1178     a250 := JTF_VARCHAR2_TABLE_2000();
1179     a251 := JTF_VARCHAR2_TABLE_2000();
1180     a252 := JTF_VARCHAR2_TABLE_2000();
1181     a253 := JTF_VARCHAR2_TABLE_2000();
1182     a254 := JTF_VARCHAR2_TABLE_4000();
1183     a255 := JTF_VARCHAR2_TABLE_4000();
1184     a256 := JTF_VARCHAR2_TABLE_4000();
1185     a257 := JTF_VARCHAR2_TABLE_4000();
1186     a258 := JTF_VARCHAR2_TABLE_4000();
1187     a259 := JTF_VARCHAR2_TABLE_4000();
1188     a260 := JTF_VARCHAR2_TABLE_4000();
1189     a261 := JTF_VARCHAR2_TABLE_4000();
1190     a262 := JTF_VARCHAR2_TABLE_4000();
1191     a263 := JTF_VARCHAR2_TABLE_4000();
1192     a264 := JTF_VARCHAR2_TABLE_100();
1193     a265 := JTF_NUMBER_TABLE();
1194     a266 := JTF_VARCHAR2_TABLE_100();
1195     a267 := JTF_VARCHAR2_TABLE_4000();
1196     a268 := JTF_VARCHAR2_TABLE_2000();
1197     a269 := JTF_VARCHAR2_TABLE_100();
1198     a270 := JTF_VARCHAR2_TABLE_2000();
1199     a271 := JTF_VARCHAR2_TABLE_2000();
1200     a272 := JTF_VARCHAR2_TABLE_2000();
1201     a273 := JTF_VARCHAR2_TABLE_2000();
1202     a274 := JTF_VARCHAR2_TABLE_2000();
1203     a275 := JTF_VARCHAR2_TABLE_2000();
1204     a276 := JTF_VARCHAR2_TABLE_2000();
1205     a277 := JTF_VARCHAR2_TABLE_2000();
1206     a278 := JTF_VARCHAR2_TABLE_2000();
1207     a279 := JTF_VARCHAR2_TABLE_2000();
1208     a280 := JTF_VARCHAR2_TABLE_2000();
1209     a281 := JTF_VARCHAR2_TABLE_2000();
1210     a282 := JTF_VARCHAR2_TABLE_2000();
1211     a283 := JTF_VARCHAR2_TABLE_2000();
1212     a284 := JTF_VARCHAR2_TABLE_2000();
1213     a285 := JTF_VARCHAR2_TABLE_2000();
1214     a286 := JTF_VARCHAR2_TABLE_2000();
1215     a287 := JTF_VARCHAR2_TABLE_2000();
1216     a288 := JTF_VARCHAR2_TABLE_2000();
1217     a289 := JTF_VARCHAR2_TABLE_2000();
1218     a290 := JTF_VARCHAR2_TABLE_2000();
1219     a291 := JTF_VARCHAR2_TABLE_2000();
1220     a292 := JTF_VARCHAR2_TABLE_2000();
1221     a293 := JTF_VARCHAR2_TABLE_2000();
1222     a294 := JTF_VARCHAR2_TABLE_2000();
1223   else
1224       a0 := JTF_NUMBER_TABLE();
1225       a1 := JTF_NUMBER_TABLE();
1226       a2 := JTF_DATE_TABLE();
1227       a3 := JTF_NUMBER_TABLE();
1228       a4 := JTF_DATE_TABLE();
1229       a5 := JTF_NUMBER_TABLE();
1230       a6 := JTF_NUMBER_TABLE();
1234       a10 := JTF_VARCHAR2_TABLE_4000();
1231       a7 := JTF_NUMBER_TABLE();
1232       a8 := JTF_VARCHAR2_TABLE_100();
1233       a9 := JTF_VARCHAR2_TABLE_100();
1235       a11 := JTF_DATE_TABLE();
1236       a12 := JTF_NUMBER_TABLE();
1237       a13 := JTF_VARCHAR2_TABLE_500();
1238       a14 := JTF_VARCHAR2_TABLE_2000();
1239       a15 := JTF_VARCHAR2_TABLE_2000();
1240       a16 := JTF_VARCHAR2_TABLE_2000();
1241       a17 := JTF_VARCHAR2_TABLE_2000();
1242       a18 := JTF_VARCHAR2_TABLE_2000();
1243       a19 := JTF_VARCHAR2_TABLE_2000();
1244       a20 := JTF_VARCHAR2_TABLE_2000();
1245       a21 := JTF_VARCHAR2_TABLE_2000();
1246       a22 := JTF_VARCHAR2_TABLE_2000();
1247       a23 := JTF_VARCHAR2_TABLE_2000();
1248       a24 := JTF_VARCHAR2_TABLE_2000();
1249       a25 := JTF_VARCHAR2_TABLE_2000();
1250       a26 := JTF_VARCHAR2_TABLE_2000();
1251       a27 := JTF_VARCHAR2_TABLE_2000();
1252       a28 := JTF_VARCHAR2_TABLE_2000();
1253       a29 := JTF_VARCHAR2_TABLE_2000();
1254       a30 := JTF_VARCHAR2_TABLE_2000();
1255       a31 := JTF_VARCHAR2_TABLE_2000();
1256       a32 := JTF_VARCHAR2_TABLE_2000();
1257       a33 := JTF_VARCHAR2_TABLE_2000();
1258       a34 := JTF_VARCHAR2_TABLE_2000();
1259       a35 := JTF_VARCHAR2_TABLE_2000();
1260       a36 := JTF_VARCHAR2_TABLE_2000();
1261       a37 := JTF_VARCHAR2_TABLE_2000();
1262       a38 := JTF_VARCHAR2_TABLE_2000();
1263       a39 := JTF_VARCHAR2_TABLE_2000();
1264       a40 := JTF_VARCHAR2_TABLE_2000();
1265       a41 := JTF_VARCHAR2_TABLE_2000();
1266       a42 := JTF_VARCHAR2_TABLE_2000();
1267       a43 := JTF_VARCHAR2_TABLE_2000();
1268       a44 := JTF_VARCHAR2_TABLE_2000();
1269       a45 := JTF_VARCHAR2_TABLE_2000();
1270       a46 := JTF_VARCHAR2_TABLE_2000();
1271       a47 := JTF_VARCHAR2_TABLE_2000();
1272       a48 := JTF_VARCHAR2_TABLE_2000();
1273       a49 := JTF_VARCHAR2_TABLE_2000();
1274       a50 := JTF_VARCHAR2_TABLE_2000();
1275       a51 := JTF_VARCHAR2_TABLE_2000();
1276       a52 := JTF_VARCHAR2_TABLE_2000();
1277       a53 := JTF_VARCHAR2_TABLE_2000();
1278       a54 := JTF_VARCHAR2_TABLE_2000();
1279       a55 := JTF_VARCHAR2_TABLE_2000();
1280       a56 := JTF_VARCHAR2_TABLE_2000();
1281       a57 := JTF_VARCHAR2_TABLE_2000();
1282       a58 := JTF_VARCHAR2_TABLE_2000();
1283       a59 := JTF_VARCHAR2_TABLE_2000();
1284       a60 := JTF_VARCHAR2_TABLE_2000();
1285       a61 := JTF_VARCHAR2_TABLE_2000();
1286       a62 := JTF_VARCHAR2_TABLE_2000();
1287       a63 := JTF_VARCHAR2_TABLE_2000();
1288       a64 := JTF_VARCHAR2_TABLE_2000();
1289       a65 := JTF_VARCHAR2_TABLE_2000();
1290       a66 := JTF_VARCHAR2_TABLE_2000();
1291       a67 := JTF_VARCHAR2_TABLE_2000();
1292       a68 := JTF_VARCHAR2_TABLE_2000();
1293       a69 := JTF_VARCHAR2_TABLE_2000();
1294       a70 := JTF_VARCHAR2_TABLE_2000();
1295       a71 := JTF_VARCHAR2_TABLE_2000();
1296       a72 := JTF_VARCHAR2_TABLE_2000();
1297       a73 := JTF_VARCHAR2_TABLE_2000();
1298       a74 := JTF_VARCHAR2_TABLE_2000();
1299       a75 := JTF_VARCHAR2_TABLE_2000();
1300       a76 := JTF_VARCHAR2_TABLE_2000();
1301       a77 := JTF_VARCHAR2_TABLE_2000();
1302       a78 := JTF_VARCHAR2_TABLE_2000();
1303       a79 := JTF_VARCHAR2_TABLE_2000();
1304       a80 := JTF_VARCHAR2_TABLE_2000();
1305       a81 := JTF_VARCHAR2_TABLE_2000();
1306       a82 := JTF_VARCHAR2_TABLE_2000();
1307       a83 := JTF_VARCHAR2_TABLE_2000();
1308       a84 := JTF_VARCHAR2_TABLE_2000();
1309       a85 := JTF_VARCHAR2_TABLE_2000();
1310       a86 := JTF_VARCHAR2_TABLE_2000();
1311       a87 := JTF_VARCHAR2_TABLE_2000();
1312       a88 := JTF_VARCHAR2_TABLE_2000();
1313       a89 := JTF_VARCHAR2_TABLE_2000();
1314       a90 := JTF_VARCHAR2_TABLE_2000();
1315       a91 := JTF_VARCHAR2_TABLE_2000();
1316       a92 := JTF_VARCHAR2_TABLE_2000();
1317       a93 := JTF_VARCHAR2_TABLE_2000();
1318       a94 := JTF_VARCHAR2_TABLE_2000();
1319       a95 := JTF_VARCHAR2_TABLE_2000();
1320       a96 := JTF_VARCHAR2_TABLE_2000();
1321       a97 := JTF_VARCHAR2_TABLE_2000();
1322       a98 := JTF_VARCHAR2_TABLE_2000();
1323       a99 := JTF_VARCHAR2_TABLE_2000();
1324       a100 := JTF_VARCHAR2_TABLE_2000();
1325       a101 := JTF_VARCHAR2_TABLE_2000();
1326       a102 := JTF_VARCHAR2_TABLE_2000();
1327       a103 := JTF_VARCHAR2_TABLE_2000();
1328       a104 := JTF_VARCHAR2_TABLE_2000();
1329       a105 := JTF_VARCHAR2_TABLE_2000();
1330       a106 := JTF_VARCHAR2_TABLE_2000();
1331       a107 := JTF_VARCHAR2_TABLE_2000();
1332       a108 := JTF_VARCHAR2_TABLE_2000();
1333       a109 := JTF_VARCHAR2_TABLE_2000();
1334       a110 := JTF_VARCHAR2_TABLE_2000();
1335       a111 := JTF_VARCHAR2_TABLE_2000();
1336       a112 := JTF_VARCHAR2_TABLE_2000();
1337       a113 := JTF_VARCHAR2_TABLE_2000();
1338       a114 := JTF_VARCHAR2_TABLE_2000();
1339       a115 := JTF_VARCHAR2_TABLE_2000();
1340       a116 := JTF_VARCHAR2_TABLE_2000();
1341       a117 := JTF_VARCHAR2_TABLE_2000();
1342       a118 := JTF_VARCHAR2_TABLE_2000();
1343       a119 := JTF_VARCHAR2_TABLE_2000();
1344       a120 := JTF_VARCHAR2_TABLE_2000();
1345       a121 := JTF_VARCHAR2_TABLE_2000();
1346       a122 := JTF_VARCHAR2_TABLE_2000();
1347       a123 := JTF_VARCHAR2_TABLE_2000();
1348       a124 := JTF_VARCHAR2_TABLE_2000();
1349       a125 := JTF_VARCHAR2_TABLE_2000();
1350       a126 := JTF_VARCHAR2_TABLE_2000();
1351       a127 := JTF_VARCHAR2_TABLE_2000();
1352       a128 := JTF_VARCHAR2_TABLE_2000();
1353       a129 := JTF_VARCHAR2_TABLE_2000();
1357       a133 := JTF_VARCHAR2_TABLE_2000();
1354       a130 := JTF_VARCHAR2_TABLE_2000();
1355       a131 := JTF_VARCHAR2_TABLE_2000();
1356       a132 := JTF_VARCHAR2_TABLE_2000();
1358       a134 := JTF_VARCHAR2_TABLE_2000();
1359       a135 := JTF_VARCHAR2_TABLE_2000();
1360       a136 := JTF_VARCHAR2_TABLE_2000();
1361       a137 := JTF_VARCHAR2_TABLE_2000();
1362       a138 := JTF_VARCHAR2_TABLE_2000();
1363       a139 := JTF_VARCHAR2_TABLE_2000();
1364       a140 := JTF_VARCHAR2_TABLE_2000();
1365       a141 := JTF_VARCHAR2_TABLE_2000();
1366       a142 := JTF_VARCHAR2_TABLE_2000();
1367       a143 := JTF_VARCHAR2_TABLE_2000();
1368       a144 := JTF_VARCHAR2_TABLE_2000();
1369       a145 := JTF_VARCHAR2_TABLE_2000();
1370       a146 := JTF_VARCHAR2_TABLE_2000();
1371       a147 := JTF_VARCHAR2_TABLE_2000();
1372       a148 := JTF_VARCHAR2_TABLE_2000();
1373       a149 := JTF_VARCHAR2_TABLE_2000();
1374       a150 := JTF_VARCHAR2_TABLE_2000();
1375       a151 := JTF_VARCHAR2_TABLE_2000();
1376       a152 := JTF_VARCHAR2_TABLE_2000();
1377       a153 := JTF_VARCHAR2_TABLE_2000();
1378       a154 := JTF_VARCHAR2_TABLE_2000();
1379       a155 := JTF_VARCHAR2_TABLE_2000();
1380       a156 := JTF_VARCHAR2_TABLE_2000();
1381       a157 := JTF_VARCHAR2_TABLE_2000();
1382       a158 := JTF_VARCHAR2_TABLE_2000();
1383       a159 := JTF_VARCHAR2_TABLE_2000();
1384       a160 := JTF_VARCHAR2_TABLE_2000();
1385       a161 := JTF_VARCHAR2_TABLE_2000();
1386       a162 := JTF_VARCHAR2_TABLE_2000();
1387       a163 := JTF_VARCHAR2_TABLE_2000();
1388       a164 := JTF_VARCHAR2_TABLE_2000();
1389       a165 := JTF_VARCHAR2_TABLE_2000();
1390       a166 := JTF_VARCHAR2_TABLE_2000();
1391       a167 := JTF_VARCHAR2_TABLE_2000();
1392       a168 := JTF_VARCHAR2_TABLE_2000();
1393       a169 := JTF_VARCHAR2_TABLE_2000();
1394       a170 := JTF_VARCHAR2_TABLE_2000();
1395       a171 := JTF_VARCHAR2_TABLE_2000();
1396       a172 := JTF_VARCHAR2_TABLE_2000();
1397       a173 := JTF_VARCHAR2_TABLE_2000();
1398       a174 := JTF_VARCHAR2_TABLE_2000();
1399       a175 := JTF_VARCHAR2_TABLE_2000();
1400       a176 := JTF_VARCHAR2_TABLE_2000();
1401       a177 := JTF_VARCHAR2_TABLE_2000();
1402       a178 := JTF_VARCHAR2_TABLE_2000();
1403       a179 := JTF_VARCHAR2_TABLE_2000();
1404       a180 := JTF_VARCHAR2_TABLE_2000();
1405       a181 := JTF_VARCHAR2_TABLE_2000();
1406       a182 := JTF_VARCHAR2_TABLE_2000();
1407       a183 := JTF_VARCHAR2_TABLE_2000();
1408       a184 := JTF_VARCHAR2_TABLE_2000();
1409       a185 := JTF_VARCHAR2_TABLE_2000();
1410       a186 := JTF_VARCHAR2_TABLE_2000();
1411       a187 := JTF_VARCHAR2_TABLE_2000();
1412       a188 := JTF_VARCHAR2_TABLE_2000();
1413       a189 := JTF_VARCHAR2_TABLE_2000();
1414       a190 := JTF_VARCHAR2_TABLE_2000();
1415       a191 := JTF_VARCHAR2_TABLE_2000();
1416       a192 := JTF_VARCHAR2_TABLE_2000();
1417       a193 := JTF_VARCHAR2_TABLE_2000();
1418       a194 := JTF_VARCHAR2_TABLE_2000();
1419       a195 := JTF_VARCHAR2_TABLE_2000();
1420       a196 := JTF_VARCHAR2_TABLE_2000();
1421       a197 := JTF_VARCHAR2_TABLE_2000();
1422       a198 := JTF_VARCHAR2_TABLE_2000();
1423       a199 := JTF_VARCHAR2_TABLE_2000();
1424       a200 := JTF_VARCHAR2_TABLE_2000();
1425       a201 := JTF_VARCHAR2_TABLE_2000();
1426       a202 := JTF_VARCHAR2_TABLE_2000();
1427       a203 := JTF_VARCHAR2_TABLE_2000();
1428       a204 := JTF_VARCHAR2_TABLE_2000();
1429       a205 := JTF_VARCHAR2_TABLE_2000();
1430       a206 := JTF_VARCHAR2_TABLE_2000();
1431       a207 := JTF_VARCHAR2_TABLE_2000();
1432       a208 := JTF_VARCHAR2_TABLE_2000();
1433       a209 := JTF_VARCHAR2_TABLE_2000();
1434       a210 := JTF_VARCHAR2_TABLE_2000();
1435       a211 := JTF_VARCHAR2_TABLE_2000();
1436       a212 := JTF_VARCHAR2_TABLE_2000();
1437       a213 := JTF_VARCHAR2_TABLE_2000();
1438       a214 := JTF_VARCHAR2_TABLE_2000();
1439       a215 := JTF_VARCHAR2_TABLE_2000();
1440       a216 := JTF_VARCHAR2_TABLE_2000();
1441       a217 := JTF_VARCHAR2_TABLE_2000();
1442       a218 := JTF_VARCHAR2_TABLE_2000();
1443       a219 := JTF_VARCHAR2_TABLE_2000();
1444       a220 := JTF_VARCHAR2_TABLE_2000();
1445       a221 := JTF_VARCHAR2_TABLE_2000();
1446       a222 := JTF_VARCHAR2_TABLE_2000();
1447       a223 := JTF_VARCHAR2_TABLE_2000();
1448       a224 := JTF_VARCHAR2_TABLE_2000();
1449       a225 := JTF_VARCHAR2_TABLE_2000();
1450       a226 := JTF_VARCHAR2_TABLE_2000();
1451       a227 := JTF_VARCHAR2_TABLE_2000();
1452       a228 := JTF_VARCHAR2_TABLE_2000();
1453       a229 := JTF_VARCHAR2_TABLE_2000();
1454       a230 := JTF_VARCHAR2_TABLE_2000();
1455       a231 := JTF_VARCHAR2_TABLE_2000();
1456       a232 := JTF_VARCHAR2_TABLE_2000();
1457       a233 := JTF_VARCHAR2_TABLE_2000();
1458       a234 := JTF_VARCHAR2_TABLE_2000();
1459       a235 := JTF_VARCHAR2_TABLE_2000();
1460       a236 := JTF_VARCHAR2_TABLE_2000();
1461       a237 := JTF_VARCHAR2_TABLE_2000();
1462       a238 := JTF_VARCHAR2_TABLE_2000();
1463       a239 := JTF_VARCHAR2_TABLE_2000();
1464       a240 := JTF_VARCHAR2_TABLE_2000();
1465       a241 := JTF_VARCHAR2_TABLE_2000();
1466       a242 := JTF_VARCHAR2_TABLE_2000();
1467       a243 := JTF_VARCHAR2_TABLE_2000();
1468       a244 := JTF_VARCHAR2_TABLE_2000();
1469       a245 := JTF_VARCHAR2_TABLE_2000();
1470       a246 := JTF_VARCHAR2_TABLE_2000();
1471       a247 := JTF_VARCHAR2_TABLE_2000();
1472       a248 := JTF_VARCHAR2_TABLE_2000();
1473       a249 := JTF_VARCHAR2_TABLE_2000();
1474       a250 := JTF_VARCHAR2_TABLE_2000();
1478       a254 := JTF_VARCHAR2_TABLE_4000();
1475       a251 := JTF_VARCHAR2_TABLE_2000();
1476       a252 := JTF_VARCHAR2_TABLE_2000();
1477       a253 := JTF_VARCHAR2_TABLE_2000();
1479       a255 := JTF_VARCHAR2_TABLE_4000();
1480       a256 := JTF_VARCHAR2_TABLE_4000();
1481       a257 := JTF_VARCHAR2_TABLE_4000();
1482       a258 := JTF_VARCHAR2_TABLE_4000();
1483       a259 := JTF_VARCHAR2_TABLE_4000();
1484       a260 := JTF_VARCHAR2_TABLE_4000();
1485       a261 := JTF_VARCHAR2_TABLE_4000();
1486       a262 := JTF_VARCHAR2_TABLE_4000();
1487       a263 := JTF_VARCHAR2_TABLE_4000();
1488       a264 := JTF_VARCHAR2_TABLE_100();
1489       a265 := JTF_NUMBER_TABLE();
1490       a266 := JTF_VARCHAR2_TABLE_100();
1491       a267 := JTF_VARCHAR2_TABLE_4000();
1492       a268 := JTF_VARCHAR2_TABLE_2000();
1493       a269 := JTF_VARCHAR2_TABLE_100();
1494       a270 := JTF_VARCHAR2_TABLE_2000();
1495       a271 := JTF_VARCHAR2_TABLE_2000();
1496       a272 := JTF_VARCHAR2_TABLE_2000();
1497       a273 := JTF_VARCHAR2_TABLE_2000();
1498       a274 := JTF_VARCHAR2_TABLE_2000();
1499       a275 := JTF_VARCHAR2_TABLE_2000();
1500       a276 := JTF_VARCHAR2_TABLE_2000();
1501       a277 := JTF_VARCHAR2_TABLE_2000();
1502       a278 := JTF_VARCHAR2_TABLE_2000();
1503       a279 := JTF_VARCHAR2_TABLE_2000();
1504       a280 := JTF_VARCHAR2_TABLE_2000();
1505       a281 := JTF_VARCHAR2_TABLE_2000();
1506       a282 := JTF_VARCHAR2_TABLE_2000();
1507       a283 := JTF_VARCHAR2_TABLE_2000();
1508       a284 := JTF_VARCHAR2_TABLE_2000();
1509       a285 := JTF_VARCHAR2_TABLE_2000();
1510       a286 := JTF_VARCHAR2_TABLE_2000();
1511       a287 := JTF_VARCHAR2_TABLE_2000();
1512       a288 := JTF_VARCHAR2_TABLE_2000();
1513       a289 := JTF_VARCHAR2_TABLE_2000();
1514       a290 := JTF_VARCHAR2_TABLE_2000();
1515       a291 := JTF_VARCHAR2_TABLE_2000();
1516       a292 := JTF_VARCHAR2_TABLE_2000();
1517       a293 := JTF_VARCHAR2_TABLE_2000();
1518       a294 := JTF_VARCHAR2_TABLE_2000();
1519       if t.count > 0 then
1520         a0.extend(t.count);
1521         a1.extend(t.count);
1522         a2.extend(t.count);
1523         a3.extend(t.count);
1524         a4.extend(t.count);
1525         a5.extend(t.count);
1526         a6.extend(t.count);
1527         a7.extend(t.count);
1528         a8.extend(t.count);
1529         a9.extend(t.count);
1530         a10.extend(t.count);
1531         a11.extend(t.count);
1532         a12.extend(t.count);
1533         a13.extend(t.count);
1534         a14.extend(t.count);
1535         a15.extend(t.count);
1536         a16.extend(t.count);
1537         a17.extend(t.count);
1538         a18.extend(t.count);
1539         a19.extend(t.count);
1540         a20.extend(t.count);
1541         a21.extend(t.count);
1542         a22.extend(t.count);
1543         a23.extend(t.count);
1544         a24.extend(t.count);
1545         a25.extend(t.count);
1546         a26.extend(t.count);
1547         a27.extend(t.count);
1548         a28.extend(t.count);
1549         a29.extend(t.count);
1550         a30.extend(t.count);
1551         a31.extend(t.count);
1552         a32.extend(t.count);
1553         a33.extend(t.count);
1554         a34.extend(t.count);
1555         a35.extend(t.count);
1556         a36.extend(t.count);
1557         a37.extend(t.count);
1558         a38.extend(t.count);
1559         a39.extend(t.count);
1560         a40.extend(t.count);
1561         a41.extend(t.count);
1562         a42.extend(t.count);
1563         a43.extend(t.count);
1564         a44.extend(t.count);
1565         a45.extend(t.count);
1566         a46.extend(t.count);
1567         a47.extend(t.count);
1568         a48.extend(t.count);
1569         a49.extend(t.count);
1570         a50.extend(t.count);
1571         a51.extend(t.count);
1572         a52.extend(t.count);
1573         a53.extend(t.count);
1574         a54.extend(t.count);
1575         a55.extend(t.count);
1576         a56.extend(t.count);
1577         a57.extend(t.count);
1578         a58.extend(t.count);
1579         a59.extend(t.count);
1580         a60.extend(t.count);
1581         a61.extend(t.count);
1582         a62.extend(t.count);
1583         a63.extend(t.count);
1584         a64.extend(t.count);
1585         a65.extend(t.count);
1586         a66.extend(t.count);
1587         a67.extend(t.count);
1588         a68.extend(t.count);
1589         a69.extend(t.count);
1590         a70.extend(t.count);
1591         a71.extend(t.count);
1592         a72.extend(t.count);
1593         a73.extend(t.count);
1594         a74.extend(t.count);
1595         a75.extend(t.count);
1596         a76.extend(t.count);
1597         a77.extend(t.count);
1598         a78.extend(t.count);
1599         a79.extend(t.count);
1600         a80.extend(t.count);
1601         a81.extend(t.count);
1602         a82.extend(t.count);
1603         a83.extend(t.count);
1604         a84.extend(t.count);
1605         a85.extend(t.count);
1606         a86.extend(t.count);
1607         a87.extend(t.count);
1608         a88.extend(t.count);
1609         a89.extend(t.count);
1610         a90.extend(t.count);
1611         a91.extend(t.count);
1612         a92.extend(t.count);
1613         a93.extend(t.count);
1614         a94.extend(t.count);
1615         a95.extend(t.count);
1616         a96.extend(t.count);
1620         a100.extend(t.count);
1617         a97.extend(t.count);
1618         a98.extend(t.count);
1619         a99.extend(t.count);
1621         a101.extend(t.count);
1622         a102.extend(t.count);
1623         a103.extend(t.count);
1624         a104.extend(t.count);
1625         a105.extend(t.count);
1626         a106.extend(t.count);
1627         a107.extend(t.count);
1628         a108.extend(t.count);
1629         a109.extend(t.count);
1630         a110.extend(t.count);
1631         a111.extend(t.count);
1632         a112.extend(t.count);
1633         a113.extend(t.count);
1634         a114.extend(t.count);
1635         a115.extend(t.count);
1636         a116.extend(t.count);
1637         a117.extend(t.count);
1638         a118.extend(t.count);
1639         a119.extend(t.count);
1640         a120.extend(t.count);
1641         a121.extend(t.count);
1642         a122.extend(t.count);
1643         a123.extend(t.count);
1644         a124.extend(t.count);
1645         a125.extend(t.count);
1646         a126.extend(t.count);
1647         a127.extend(t.count);
1648         a128.extend(t.count);
1649         a129.extend(t.count);
1650         a130.extend(t.count);
1651         a131.extend(t.count);
1652         a132.extend(t.count);
1653         a133.extend(t.count);
1654         a134.extend(t.count);
1655         a135.extend(t.count);
1656         a136.extend(t.count);
1657         a137.extend(t.count);
1658         a138.extend(t.count);
1659         a139.extend(t.count);
1660         a140.extend(t.count);
1661         a141.extend(t.count);
1662         a142.extend(t.count);
1663         a143.extend(t.count);
1664         a144.extend(t.count);
1665         a145.extend(t.count);
1666         a146.extend(t.count);
1667         a147.extend(t.count);
1668         a148.extend(t.count);
1669         a149.extend(t.count);
1670         a150.extend(t.count);
1671         a151.extend(t.count);
1672         a152.extend(t.count);
1673         a153.extend(t.count);
1674         a154.extend(t.count);
1675         a155.extend(t.count);
1676         a156.extend(t.count);
1677         a157.extend(t.count);
1678         a158.extend(t.count);
1679         a159.extend(t.count);
1680         a160.extend(t.count);
1681         a161.extend(t.count);
1682         a162.extend(t.count);
1683         a163.extend(t.count);
1684         a164.extend(t.count);
1685         a165.extend(t.count);
1686         a166.extend(t.count);
1687         a167.extend(t.count);
1688         a168.extend(t.count);
1689         a169.extend(t.count);
1690         a170.extend(t.count);
1691         a171.extend(t.count);
1692         a172.extend(t.count);
1693         a173.extend(t.count);
1694         a174.extend(t.count);
1695         a175.extend(t.count);
1696         a176.extend(t.count);
1697         a177.extend(t.count);
1698         a178.extend(t.count);
1699         a179.extend(t.count);
1700         a180.extend(t.count);
1701         a181.extend(t.count);
1702         a182.extend(t.count);
1703         a183.extend(t.count);
1704         a184.extend(t.count);
1705         a185.extend(t.count);
1706         a186.extend(t.count);
1707         a187.extend(t.count);
1708         a188.extend(t.count);
1709         a189.extend(t.count);
1710         a190.extend(t.count);
1711         a191.extend(t.count);
1712         a192.extend(t.count);
1713         a193.extend(t.count);
1714         a194.extend(t.count);
1715         a195.extend(t.count);
1716         a196.extend(t.count);
1717         a197.extend(t.count);
1718         a198.extend(t.count);
1719         a199.extend(t.count);
1720         a200.extend(t.count);
1721         a201.extend(t.count);
1722         a202.extend(t.count);
1723         a203.extend(t.count);
1724         a204.extend(t.count);
1725         a205.extend(t.count);
1726         a206.extend(t.count);
1727         a207.extend(t.count);
1728         a208.extend(t.count);
1729         a209.extend(t.count);
1730         a210.extend(t.count);
1731         a211.extend(t.count);
1732         a212.extend(t.count);
1733         a213.extend(t.count);
1734         a214.extend(t.count);
1735         a215.extend(t.count);
1736         a216.extend(t.count);
1737         a217.extend(t.count);
1738         a218.extend(t.count);
1739         a219.extend(t.count);
1740         a220.extend(t.count);
1741         a221.extend(t.count);
1742         a222.extend(t.count);
1743         a223.extend(t.count);
1744         a224.extend(t.count);
1745         a225.extend(t.count);
1746         a226.extend(t.count);
1747         a227.extend(t.count);
1748         a228.extend(t.count);
1749         a229.extend(t.count);
1750         a230.extend(t.count);
1751         a231.extend(t.count);
1752         a232.extend(t.count);
1753         a233.extend(t.count);
1754         a234.extend(t.count);
1755         a235.extend(t.count);
1756         a236.extend(t.count);
1757         a237.extend(t.count);
1758         a238.extend(t.count);
1759         a239.extend(t.count);
1760         a240.extend(t.count);
1761         a241.extend(t.count);
1762         a242.extend(t.count);
1763         a243.extend(t.count);
1764         a244.extend(t.count);
1765         a245.extend(t.count);
1766         a246.extend(t.count);
1767         a247.extend(t.count);
1771         a251.extend(t.count);
1768         a248.extend(t.count);
1769         a249.extend(t.count);
1770         a250.extend(t.count);
1772         a252.extend(t.count);
1773         a253.extend(t.count);
1774         a254.extend(t.count);
1775         a255.extend(t.count);
1776         a256.extend(t.count);
1777         a257.extend(t.count);
1778         a258.extend(t.count);
1779         a259.extend(t.count);
1780         a260.extend(t.count);
1781         a261.extend(t.count);
1782         a262.extend(t.count);
1783         a263.extend(t.count);
1784         a264.extend(t.count);
1785         a265.extend(t.count);
1786         a266.extend(t.count);
1787         a267.extend(t.count);
1788         a268.extend(t.count);
1789         a269.extend(t.count);
1790         a270.extend(t.count);
1791         a271.extend(t.count);
1792         a272.extend(t.count);
1793         a273.extend(t.count);
1794         a274.extend(t.count);
1795         a275.extend(t.count);
1796         a276.extend(t.count);
1797         a277.extend(t.count);
1798         a278.extend(t.count);
1799         a279.extend(t.count);
1800         a280.extend(t.count);
1801         a281.extend(t.count);
1802         a282.extend(t.count);
1803         a283.extend(t.count);
1804         a284.extend(t.count);
1805         a285.extend(t.count);
1806         a286.extend(t.count);
1807         a287.extend(t.count);
1808         a288.extend(t.count);
1809         a289.extend(t.count);
1810         a290.extend(t.count);
1811         a291.extend(t.count);
1812         a292.extend(t.count);
1813         a293.extend(t.count);
1814         a294.extend(t.count);
1815         ddindx := t.first;
1816         indx := 1;
1817         while true loop
1818           a0(indx) := rosetta_g_miss_num_map(t(ddindx).import_source_line_id);
1819           a1(indx) := rosetta_g_miss_num_map(t(ddindx).object_version_number);
1820           a2(indx) := t(ddindx).last_update_date;
1821           a3(indx) := rosetta_g_miss_num_map(t(ddindx).last_updated_by);
1822           a4(indx) := t(ddindx).creation_date;
1823           a5(indx) := rosetta_g_miss_num_map(t(ddindx).created_by);
1824           a6(indx) := rosetta_g_miss_num_map(t(ddindx).last_update_login);
1825           a7(indx) := rosetta_g_miss_num_map(t(ddindx).import_list_header_id);
1826           a8(indx) := t(ddindx).import_successful_flag;
1827           a9(indx) := t(ddindx).enabled_flag;
1828           a10(indx) := t(ddindx).import_failure_reason;
1829           a11(indx) := t(ddindx).re_import_last_done_date;
1830           a12(indx) := rosetta_g_miss_num_map(t(ddindx).party_id);
1831           a13(indx) := t(ddindx).dedupe_key;
1832           a14(indx) := t(ddindx).col1;
1833           a15(indx) := t(ddindx).col2;
1834           a16(indx) := t(ddindx).col3;
1835           a17(indx) := t(ddindx).col4;
1836           a18(indx) := t(ddindx).col5;
1837           a19(indx) := t(ddindx).col6;
1838           a20(indx) := t(ddindx).col7;
1839           a21(indx) := t(ddindx).col8;
1840           a22(indx) := t(ddindx).col9;
1841           a23(indx) := t(ddindx).col10;
1842           a24(indx) := t(ddindx).col11;
1843           a25(indx) := t(ddindx).col12;
1844           a26(indx) := t(ddindx).col13;
1845           a27(indx) := t(ddindx).col14;
1846           a28(indx) := t(ddindx).col15;
1847           a29(indx) := t(ddindx).col16;
1848           a30(indx) := t(ddindx).col17;
1849           a31(indx) := t(ddindx).col18;
1850           a32(indx) := t(ddindx).col19;
1851           a33(indx) := t(ddindx).col20;
1852           a34(indx) := t(ddindx).col21;
1853           a35(indx) := t(ddindx).col22;
1854           a36(indx) := t(ddindx).col23;
1855           a37(indx) := t(ddindx).col24;
1856           a38(indx) := t(ddindx).col25;
1857           a39(indx) := t(ddindx).col26;
1858           a40(indx) := t(ddindx).col27;
1859           a41(indx) := t(ddindx).col28;
1860           a42(indx) := t(ddindx).col29;
1861           a43(indx) := t(ddindx).col30;
1862           a44(indx) := t(ddindx).col31;
1863           a45(indx) := t(ddindx).col32;
1864           a46(indx) := t(ddindx).col33;
1865           a47(indx) := t(ddindx).col34;
1866           a48(indx) := t(ddindx).col35;
1867           a49(indx) := t(ddindx).col36;
1868           a50(indx) := t(ddindx).col37;
1869           a51(indx) := t(ddindx).col38;
1870           a52(indx) := t(ddindx).col39;
1871           a53(indx) := t(ddindx).col40;
1872           a54(indx) := t(ddindx).col41;
1873           a55(indx) := t(ddindx).col42;
1874           a56(indx) := t(ddindx).col43;
1875           a57(indx) := t(ddindx).col44;
1876           a58(indx) := t(ddindx).col45;
1877           a59(indx) := t(ddindx).col46;
1878           a60(indx) := t(ddindx).col47;
1879           a61(indx) := t(ddindx).col48;
1880           a62(indx) := t(ddindx).col49;
1881           a63(indx) := t(ddindx).col50;
1882           a64(indx) := t(ddindx).col51;
1883           a65(indx) := t(ddindx).col52;
1884           a66(indx) := t(ddindx).col53;
1885           a67(indx) := t(ddindx).col54;
1886           a68(indx) := t(ddindx).col55;
1887           a69(indx) := t(ddindx).col56;
1888           a70(indx) := t(ddindx).col57;
1889           a71(indx) := t(ddindx).col58;
1890           a72(indx) := t(ddindx).col59;
1891           a73(indx) := t(ddindx).col60;
1892           a74(indx) := t(ddindx).col61;
1893           a75(indx) := t(ddindx).col62;
1894           a76(indx) := t(ddindx).col63;
1895           a77(indx) := t(ddindx).col64;
1899           a81(indx) := t(ddindx).col68;
1896           a78(indx) := t(ddindx).col65;
1897           a79(indx) := t(ddindx).col66;
1898           a80(indx) := t(ddindx).col67;
1900           a82(indx) := t(ddindx).col69;
1901           a83(indx) := t(ddindx).col70;
1902           a84(indx) := t(ddindx).col71;
1903           a85(indx) := t(ddindx).col72;
1904           a86(indx) := t(ddindx).col73;
1905           a87(indx) := t(ddindx).col74;
1906           a88(indx) := t(ddindx).col75;
1907           a89(indx) := t(ddindx).col76;
1908           a90(indx) := t(ddindx).col77;
1909           a91(indx) := t(ddindx).col78;
1910           a92(indx) := t(ddindx).col79;
1911           a93(indx) := t(ddindx).col80;
1912           a94(indx) := t(ddindx).col81;
1913           a95(indx) := t(ddindx).col82;
1914           a96(indx) := t(ddindx).col83;
1915           a97(indx) := t(ddindx).col84;
1916           a98(indx) := t(ddindx).col85;
1917           a99(indx) := t(ddindx).col86;
1918           a100(indx) := t(ddindx).col87;
1919           a101(indx) := t(ddindx).col88;
1920           a102(indx) := t(ddindx).col89;
1921           a103(indx) := t(ddindx).col90;
1922           a104(indx) := t(ddindx).col91;
1923           a105(indx) := t(ddindx).col92;
1924           a106(indx) := t(ddindx).col93;
1925           a107(indx) := t(ddindx).col94;
1926           a108(indx) := t(ddindx).col95;
1927           a109(indx) := t(ddindx).col96;
1928           a110(indx) := t(ddindx).col97;
1929           a111(indx) := t(ddindx).col98;
1930           a112(indx) := t(ddindx).col99;
1931           a113(indx) := t(ddindx).col100;
1932           a114(indx) := t(ddindx).col101;
1933           a115(indx) := t(ddindx).col102;
1934           a116(indx) := t(ddindx).col103;
1935           a117(indx) := t(ddindx).col104;
1936           a118(indx) := t(ddindx).col105;
1937           a119(indx) := t(ddindx).col106;
1938           a120(indx) := t(ddindx).col107;
1939           a121(indx) := t(ddindx).col108;
1940           a122(indx) := t(ddindx).col109;
1941           a123(indx) := t(ddindx).col110;
1942           a124(indx) := t(ddindx).col111;
1943           a125(indx) := t(ddindx).col112;
1944           a126(indx) := t(ddindx).col113;
1945           a127(indx) := t(ddindx).col114;
1946           a128(indx) := t(ddindx).col115;
1947           a129(indx) := t(ddindx).col116;
1948           a130(indx) := t(ddindx).col117;
1949           a131(indx) := t(ddindx).col118;
1950           a132(indx) := t(ddindx).col119;
1951           a133(indx) := t(ddindx).col120;
1952           a134(indx) := t(ddindx).col121;
1953           a135(indx) := t(ddindx).col122;
1954           a136(indx) := t(ddindx).col123;
1955           a137(indx) := t(ddindx).col124;
1956           a138(indx) := t(ddindx).col125;
1957           a139(indx) := t(ddindx).col126;
1958           a140(indx) := t(ddindx).col127;
1959           a141(indx) := t(ddindx).col128;
1960           a142(indx) := t(ddindx).col129;
1961           a143(indx) := t(ddindx).col130;
1962           a144(indx) := t(ddindx).col131;
1963           a145(indx) := t(ddindx).col132;
1964           a146(indx) := t(ddindx).col133;
1965           a147(indx) := t(ddindx).col134;
1966           a148(indx) := t(ddindx).col135;
1967           a149(indx) := t(ddindx).col136;
1968           a150(indx) := t(ddindx).col137;
1969           a151(indx) := t(ddindx).col138;
1970           a152(indx) := t(ddindx).col139;
1971           a153(indx) := t(ddindx).col140;
1972           a154(indx) := t(ddindx).col141;
1973           a155(indx) := t(ddindx).col142;
1974           a156(indx) := t(ddindx).col143;
1975           a157(indx) := t(ddindx).col144;
1976           a158(indx) := t(ddindx).col145;
1977           a159(indx) := t(ddindx).col146;
1978           a160(indx) := t(ddindx).col147;
1979           a161(indx) := t(ddindx).col148;
1980           a162(indx) := t(ddindx).col149;
1981           a163(indx) := t(ddindx).col150;
1982           a164(indx) := t(ddindx).col151;
1983           a165(indx) := t(ddindx).col152;
1984           a166(indx) := t(ddindx).col153;
1985           a167(indx) := t(ddindx).col154;
1986           a168(indx) := t(ddindx).col155;
1987           a169(indx) := t(ddindx).col156;
1988           a170(indx) := t(ddindx).col157;
1989           a171(indx) := t(ddindx).col158;
1990           a172(indx) := t(ddindx).col159;
1991           a173(indx) := t(ddindx).col160;
1992           a174(indx) := t(ddindx).col161;
1993           a175(indx) := t(ddindx).col162;
1994           a176(indx) := t(ddindx).col163;
1995           a177(indx) := t(ddindx).col164;
1996           a178(indx) := t(ddindx).col165;
1997           a179(indx) := t(ddindx).col166;
1998           a180(indx) := t(ddindx).col167;
1999           a181(indx) := t(ddindx).col168;
2000           a182(indx) := t(ddindx).col169;
2001           a183(indx) := t(ddindx).col170;
2002           a184(indx) := t(ddindx).col171;
2003           a185(indx) := t(ddindx).col172;
2004           a186(indx) := t(ddindx).col173;
2005           a187(indx) := t(ddindx).col174;
2006           a188(indx) := t(ddindx).col175;
2007           a189(indx) := t(ddindx).col176;
2008           a190(indx) := t(ddindx).col177;
2009           a191(indx) := t(ddindx).col178;
2010           a192(indx) := t(ddindx).col179;
2011           a193(indx) := t(ddindx).col180;
2012           a194(indx) := t(ddindx).col181;
2013           a195(indx) := t(ddindx).col182;
2014           a196(indx) := t(ddindx).col183;
2018           a200(indx) := t(ddindx).col187;
2015           a197(indx) := t(ddindx).col184;
2016           a198(indx) := t(ddindx).col185;
2017           a199(indx) := t(ddindx).col186;
2019           a201(indx) := t(ddindx).col188;
2020           a202(indx) := t(ddindx).col189;
2021           a203(indx) := t(ddindx).col190;
2022           a204(indx) := t(ddindx).col191;
2023           a205(indx) := t(ddindx).col192;
2024           a206(indx) := t(ddindx).col193;
2025           a207(indx) := t(ddindx).col194;
2026           a208(indx) := t(ddindx).col195;
2027           a209(indx) := t(ddindx).col196;
2028           a210(indx) := t(ddindx).col197;
2029           a211(indx) := t(ddindx).col198;
2030           a212(indx) := t(ddindx).col199;
2031           a213(indx) := t(ddindx).col200;
2032           a214(indx) := t(ddindx).col201;
2033           a215(indx) := t(ddindx).col202;
2034           a216(indx) := t(ddindx).col203;
2035           a217(indx) := t(ddindx).col204;
2036           a218(indx) := t(ddindx).col205;
2037           a219(indx) := t(ddindx).col206;
2038           a220(indx) := t(ddindx).col207;
2039           a221(indx) := t(ddindx).col208;
2040           a222(indx) := t(ddindx).col209;
2041           a223(indx) := t(ddindx).col210;
2042           a224(indx) := t(ddindx).col211;
2043           a225(indx) := t(ddindx).col212;
2044           a226(indx) := t(ddindx).col213;
2045           a227(indx) := t(ddindx).col214;
2046           a228(indx) := t(ddindx).col215;
2047           a229(indx) := t(ddindx).col216;
2048           a230(indx) := t(ddindx).col217;
2049           a231(indx) := t(ddindx).col218;
2050           a232(indx) := t(ddindx).col219;
2051           a233(indx) := t(ddindx).col220;
2052           a234(indx) := t(ddindx).col221;
2053           a235(indx) := t(ddindx).col222;
2054           a236(indx) := t(ddindx).col223;
2055           a237(indx) := t(ddindx).col224;
2056           a238(indx) := t(ddindx).col225;
2057           a239(indx) := t(ddindx).col226;
2058           a240(indx) := t(ddindx).col227;
2059           a241(indx) := t(ddindx).col228;
2060           a242(indx) := t(ddindx).col229;
2061           a243(indx) := t(ddindx).col230;
2062           a244(indx) := t(ddindx).col231;
2063           a245(indx) := t(ddindx).col232;
2064           a246(indx) := t(ddindx).col233;
2065           a247(indx) := t(ddindx).col234;
2066           a248(indx) := t(ddindx).col235;
2067           a249(indx) := t(ddindx).col236;
2068           a250(indx) := t(ddindx).col237;
2069           a251(indx) := t(ddindx).col238;
2070           a252(indx) := t(ddindx).col239;
2071           a253(indx) := t(ddindx).col240;
2072           a254(indx) := t(ddindx).col241;
2073           a255(indx) := t(ddindx).col242;
2074           a256(indx) := t(ddindx).col243;
2075           a257(indx) := t(ddindx).col244;
2076           a258(indx) := t(ddindx).col245;
2077           a259(indx) := t(ddindx).col246;
2078           a260(indx) := t(ddindx).col247;
2079           a261(indx) := t(ddindx).col248;
2080           a262(indx) := t(ddindx).col249;
2081           a263(indx) := t(ddindx).col250;
2082           a264(indx) := t(ddindx).duplicate_flag;
2083           a265(indx) := rosetta_g_miss_num_map(t(ddindx).current_usage);
2084           a266(indx) := t(ddindx).load_status;
2085           a267(indx) := t(ddindx).notes;
2086           a268(indx) := t(ddindx).sales_agent_email_address;
2087           a269(indx) := t(ddindx).vehicle_response_code;
2088           a270(indx) := t(ddindx).custom_column1;
2089           a271(indx) := t(ddindx).custom_column2;
2090           a272(indx) := t(ddindx).custom_column3;
2091           a273(indx) := t(ddindx).custom_column4;
2092           a274(indx) := t(ddindx).custom_column5;
2093           a275(indx) := t(ddindx).custom_column6;
2094           a276(indx) := t(ddindx).custom_column7;
2095           a277(indx) := t(ddindx).custom_column8;
2096           a278(indx) := t(ddindx).custom_column9;
2097           a279(indx) := t(ddindx).custom_column10;
2098           a280(indx) := t(ddindx).custom_column11;
2099           a281(indx) := t(ddindx).custom_column12;
2100           a282(indx) := t(ddindx).custom_column13;
2101           a283(indx) := t(ddindx).custom_column14;
2102           a284(indx) := t(ddindx).custom_column15;
2103           a285(indx) := t(ddindx).custom_column16;
2104           a286(indx) := t(ddindx).custom_column17;
2105           a287(indx) := t(ddindx).custom_column18;
2106           a288(indx) := t(ddindx).custom_column19;
2107           a289(indx) := t(ddindx).custom_column20;
2108           a290(indx) := t(ddindx).custom_column21;
2109           a291(indx) := t(ddindx).custom_column22;
2110           a292(indx) := t(ddindx).custom_column23;
2111           a293(indx) := t(ddindx).custom_column24;
2112           a294(indx) := t(ddindx).custom_column25;
2113           indx := indx+1;
2114           if t.last =ddindx
2115             then exit;
2116           end if;
2117           ddindx := t.next(ddindx);
2118         end loop;
2119       end if;
2120    end if;
2121   end rosetta_table_copy_out_p3;
2122 
2123   procedure create_is_line(p_api_version_number  NUMBER
2124     , p_init_msg_list  VARCHAR2
2125     , p_commit  VARCHAR2
2126     , p_validation_level  NUMBER
2127     , x_return_status out nocopy  VARCHAR2
2128     , x_msg_count out nocopy  NUMBER
2129     , x_msg_data out nocopy  VARCHAR2
2130     , x_import_source_line_id out nocopy  NUMBER
2134     , p7_a3  NUMBER := 0-1962.0724
2131     , p7_a0  NUMBER := 0-1962.0724
2132     , p7_a1  NUMBER := 0-1962.0724
2133     , p7_a2  DATE := fnd_api.g_miss_date
2135     , p7_a4  DATE := fnd_api.g_miss_date
2136     , p7_a5  NUMBER := 0-1962.0724
2137     , p7_a6  NUMBER := 0-1962.0724
2138     , p7_a7  NUMBER := 0-1962.0724
2139     , p7_a8  VARCHAR2 := fnd_api.g_miss_char
2140     , p7_a9  VARCHAR2 := fnd_api.g_miss_char
2141     , p7_a10  VARCHAR2 := fnd_api.g_miss_char
2142     , p7_a11  DATE := fnd_api.g_miss_date
2143     , p7_a12  NUMBER := 0-1962.0724
2144     , p7_a13  VARCHAR2 := fnd_api.g_miss_char
2145     , p7_a14  VARCHAR2 := fnd_api.g_miss_char
2146     , p7_a15  VARCHAR2 := fnd_api.g_miss_char
2147     , p7_a16  VARCHAR2 := fnd_api.g_miss_char
2148     , p7_a17  VARCHAR2 := fnd_api.g_miss_char
2149     , p7_a18  VARCHAR2 := fnd_api.g_miss_char
2150     , p7_a19  VARCHAR2 := fnd_api.g_miss_char
2151     , p7_a20  VARCHAR2 := fnd_api.g_miss_char
2152     , p7_a21  VARCHAR2 := fnd_api.g_miss_char
2153     , p7_a22  VARCHAR2 := fnd_api.g_miss_char
2154     , p7_a23  VARCHAR2 := fnd_api.g_miss_char
2155     , p7_a24  VARCHAR2 := fnd_api.g_miss_char
2156     , p7_a25  VARCHAR2 := fnd_api.g_miss_char
2157     , p7_a26  VARCHAR2 := fnd_api.g_miss_char
2158     , p7_a27  VARCHAR2 := fnd_api.g_miss_char
2159     , p7_a28  VARCHAR2 := fnd_api.g_miss_char
2160     , p7_a29  VARCHAR2 := fnd_api.g_miss_char
2161     , p7_a30  VARCHAR2 := fnd_api.g_miss_char
2162     , p7_a31  VARCHAR2 := fnd_api.g_miss_char
2163     , p7_a32  VARCHAR2 := fnd_api.g_miss_char
2164     , p7_a33  VARCHAR2 := fnd_api.g_miss_char
2165     , p7_a34  VARCHAR2 := fnd_api.g_miss_char
2166     , p7_a35  VARCHAR2 := fnd_api.g_miss_char
2167     , p7_a36  VARCHAR2 := fnd_api.g_miss_char
2168     , p7_a37  VARCHAR2 := fnd_api.g_miss_char
2169     , p7_a38  VARCHAR2 := fnd_api.g_miss_char
2170     , p7_a39  VARCHAR2 := fnd_api.g_miss_char
2171     , p7_a40  VARCHAR2 := fnd_api.g_miss_char
2172     , p7_a41  VARCHAR2 := fnd_api.g_miss_char
2173     , p7_a42  VARCHAR2 := fnd_api.g_miss_char
2174     , p7_a43  VARCHAR2 := fnd_api.g_miss_char
2175     , p7_a44  VARCHAR2 := fnd_api.g_miss_char
2176     , p7_a45  VARCHAR2 := fnd_api.g_miss_char
2177     , p7_a46  VARCHAR2 := fnd_api.g_miss_char
2178     , p7_a47  VARCHAR2 := fnd_api.g_miss_char
2179     , p7_a48  VARCHAR2 := fnd_api.g_miss_char
2180     , p7_a49  VARCHAR2 := fnd_api.g_miss_char
2181     , p7_a50  VARCHAR2 := fnd_api.g_miss_char
2182     , p7_a51  VARCHAR2 := fnd_api.g_miss_char
2183     , p7_a52  VARCHAR2 := fnd_api.g_miss_char
2184     , p7_a53  VARCHAR2 := fnd_api.g_miss_char
2185     , p7_a54  VARCHAR2 := fnd_api.g_miss_char
2186     , p7_a55  VARCHAR2 := fnd_api.g_miss_char
2187     , p7_a56  VARCHAR2 := fnd_api.g_miss_char
2188     , p7_a57  VARCHAR2 := fnd_api.g_miss_char
2189     , p7_a58  VARCHAR2 := fnd_api.g_miss_char
2190     , p7_a59  VARCHAR2 := fnd_api.g_miss_char
2191     , p7_a60  VARCHAR2 := fnd_api.g_miss_char
2192     , p7_a61  VARCHAR2 := fnd_api.g_miss_char
2193     , p7_a62  VARCHAR2 := fnd_api.g_miss_char
2194     , p7_a63  VARCHAR2 := fnd_api.g_miss_char
2195     , p7_a64  VARCHAR2 := fnd_api.g_miss_char
2196     , p7_a65  VARCHAR2 := fnd_api.g_miss_char
2197     , p7_a66  VARCHAR2 := fnd_api.g_miss_char
2198     , p7_a67  VARCHAR2 := fnd_api.g_miss_char
2199     , p7_a68  VARCHAR2 := fnd_api.g_miss_char
2200     , p7_a69  VARCHAR2 := fnd_api.g_miss_char
2201     , p7_a70  VARCHAR2 := fnd_api.g_miss_char
2202     , p7_a71  VARCHAR2 := fnd_api.g_miss_char
2203     , p7_a72  VARCHAR2 := fnd_api.g_miss_char
2204     , p7_a73  VARCHAR2 := fnd_api.g_miss_char
2205     , p7_a74  VARCHAR2 := fnd_api.g_miss_char
2206     , p7_a75  VARCHAR2 := fnd_api.g_miss_char
2207     , p7_a76  VARCHAR2 := fnd_api.g_miss_char
2208     , p7_a77  VARCHAR2 := fnd_api.g_miss_char
2209     , p7_a78  VARCHAR2 := fnd_api.g_miss_char
2210     , p7_a79  VARCHAR2 := fnd_api.g_miss_char
2211     , p7_a80  VARCHAR2 := fnd_api.g_miss_char
2212     , p7_a81  VARCHAR2 := fnd_api.g_miss_char
2213     , p7_a82  VARCHAR2 := fnd_api.g_miss_char
2214     , p7_a83  VARCHAR2 := fnd_api.g_miss_char
2215     , p7_a84  VARCHAR2 := fnd_api.g_miss_char
2216     , p7_a85  VARCHAR2 := fnd_api.g_miss_char
2217     , p7_a86  VARCHAR2 := fnd_api.g_miss_char
2218     , p7_a87  VARCHAR2 := fnd_api.g_miss_char
2219     , p7_a88  VARCHAR2 := fnd_api.g_miss_char
2220     , p7_a89  VARCHAR2 := fnd_api.g_miss_char
2221     , p7_a90  VARCHAR2 := fnd_api.g_miss_char
2222     , p7_a91  VARCHAR2 := fnd_api.g_miss_char
2223     , p7_a92  VARCHAR2 := fnd_api.g_miss_char
2224     , p7_a93  VARCHAR2 := fnd_api.g_miss_char
2225     , p7_a94  VARCHAR2 := fnd_api.g_miss_char
2226     , p7_a95  VARCHAR2 := fnd_api.g_miss_char
2227     , p7_a96  VARCHAR2 := fnd_api.g_miss_char
2228     , p7_a97  VARCHAR2 := fnd_api.g_miss_char
2229     , p7_a98  VARCHAR2 := fnd_api.g_miss_char
2230     , p7_a99  VARCHAR2 := fnd_api.g_miss_char
2231     , p7_a100  VARCHAR2 := fnd_api.g_miss_char
2232     , p7_a101  VARCHAR2 := fnd_api.g_miss_char
2233     , p7_a102  VARCHAR2 := fnd_api.g_miss_char
2234     , p7_a103  VARCHAR2 := fnd_api.g_miss_char
2235     , p7_a104  VARCHAR2 := fnd_api.g_miss_char
2236     , p7_a105  VARCHAR2 := fnd_api.g_miss_char
2237     , p7_a106  VARCHAR2 := fnd_api.g_miss_char
2238     , p7_a107  VARCHAR2 := fnd_api.g_miss_char
2239     , p7_a108  VARCHAR2 := fnd_api.g_miss_char
2240     , p7_a109  VARCHAR2 := fnd_api.g_miss_char
2241     , p7_a110  VARCHAR2 := fnd_api.g_miss_char
2245     , p7_a114  VARCHAR2 := fnd_api.g_miss_char
2242     , p7_a111  VARCHAR2 := fnd_api.g_miss_char
2243     , p7_a112  VARCHAR2 := fnd_api.g_miss_char
2244     , p7_a113  VARCHAR2 := fnd_api.g_miss_char
2246     , p7_a115  VARCHAR2 := fnd_api.g_miss_char
2247     , p7_a116  VARCHAR2 := fnd_api.g_miss_char
2248     , p7_a117  VARCHAR2 := fnd_api.g_miss_char
2249     , p7_a118  VARCHAR2 := fnd_api.g_miss_char
2250     , p7_a119  VARCHAR2 := fnd_api.g_miss_char
2251     , p7_a120  VARCHAR2 := fnd_api.g_miss_char
2252     , p7_a121  VARCHAR2 := fnd_api.g_miss_char
2253     , p7_a122  VARCHAR2 := fnd_api.g_miss_char
2254     , p7_a123  VARCHAR2 := fnd_api.g_miss_char
2255     , p7_a124  VARCHAR2 := fnd_api.g_miss_char
2256     , p7_a125  VARCHAR2 := fnd_api.g_miss_char
2257     , p7_a126  VARCHAR2 := fnd_api.g_miss_char
2258     , p7_a127  VARCHAR2 := fnd_api.g_miss_char
2259     , p7_a128  VARCHAR2 := fnd_api.g_miss_char
2260     , p7_a129  VARCHAR2 := fnd_api.g_miss_char
2261     , p7_a130  VARCHAR2 := fnd_api.g_miss_char
2262     , p7_a131  VARCHAR2 := fnd_api.g_miss_char
2263     , p7_a132  VARCHAR2 := fnd_api.g_miss_char
2264     , p7_a133  VARCHAR2 := fnd_api.g_miss_char
2265     , p7_a134  VARCHAR2 := fnd_api.g_miss_char
2266     , p7_a135  VARCHAR2 := fnd_api.g_miss_char
2267     , p7_a136  VARCHAR2 := fnd_api.g_miss_char
2268     , p7_a137  VARCHAR2 := fnd_api.g_miss_char
2269     , p7_a138  VARCHAR2 := fnd_api.g_miss_char
2270     , p7_a139  VARCHAR2 := fnd_api.g_miss_char
2271     , p7_a140  VARCHAR2 := fnd_api.g_miss_char
2272     , p7_a141  VARCHAR2 := fnd_api.g_miss_char
2273     , p7_a142  VARCHAR2 := fnd_api.g_miss_char
2274     , p7_a143  VARCHAR2 := fnd_api.g_miss_char
2275     , p7_a144  VARCHAR2 := fnd_api.g_miss_char
2276     , p7_a145  VARCHAR2 := fnd_api.g_miss_char
2277     , p7_a146  VARCHAR2 := fnd_api.g_miss_char
2278     , p7_a147  VARCHAR2 := fnd_api.g_miss_char
2279     , p7_a148  VARCHAR2 := fnd_api.g_miss_char
2280     , p7_a149  VARCHAR2 := fnd_api.g_miss_char
2281     , p7_a150  VARCHAR2 := fnd_api.g_miss_char
2282     , p7_a151  VARCHAR2 := fnd_api.g_miss_char
2283     , p7_a152  VARCHAR2 := fnd_api.g_miss_char
2284     , p7_a153  VARCHAR2 := fnd_api.g_miss_char
2285     , p7_a154  VARCHAR2 := fnd_api.g_miss_char
2286     , p7_a155  VARCHAR2 := fnd_api.g_miss_char
2287     , p7_a156  VARCHAR2 := fnd_api.g_miss_char
2288     , p7_a157  VARCHAR2 := fnd_api.g_miss_char
2289     , p7_a158  VARCHAR2 := fnd_api.g_miss_char
2290     , p7_a159  VARCHAR2 := fnd_api.g_miss_char
2291     , p7_a160  VARCHAR2 := fnd_api.g_miss_char
2292     , p7_a161  VARCHAR2 := fnd_api.g_miss_char
2293     , p7_a162  VARCHAR2 := fnd_api.g_miss_char
2294     , p7_a163  VARCHAR2 := fnd_api.g_miss_char
2295     , p7_a164  VARCHAR2 := fnd_api.g_miss_char
2296     , p7_a165  VARCHAR2 := fnd_api.g_miss_char
2297     , p7_a166  VARCHAR2 := fnd_api.g_miss_char
2298     , p7_a167  VARCHAR2 := fnd_api.g_miss_char
2299     , p7_a168  VARCHAR2 := fnd_api.g_miss_char
2300     , p7_a169  VARCHAR2 := fnd_api.g_miss_char
2301     , p7_a170  VARCHAR2 := fnd_api.g_miss_char
2302     , p7_a171  VARCHAR2 := fnd_api.g_miss_char
2303     , p7_a172  VARCHAR2 := fnd_api.g_miss_char
2304     , p7_a173  VARCHAR2 := fnd_api.g_miss_char
2305     , p7_a174  VARCHAR2 := fnd_api.g_miss_char
2306     , p7_a175  VARCHAR2 := fnd_api.g_miss_char
2307     , p7_a176  VARCHAR2 := fnd_api.g_miss_char
2308     , p7_a177  VARCHAR2 := fnd_api.g_miss_char
2309     , p7_a178  VARCHAR2 := fnd_api.g_miss_char
2310     , p7_a179  VARCHAR2 := fnd_api.g_miss_char
2311     , p7_a180  VARCHAR2 := fnd_api.g_miss_char
2312     , p7_a181  VARCHAR2 := fnd_api.g_miss_char
2313     , p7_a182  VARCHAR2 := fnd_api.g_miss_char
2314     , p7_a183  VARCHAR2 := fnd_api.g_miss_char
2315     , p7_a184  VARCHAR2 := fnd_api.g_miss_char
2316     , p7_a185  VARCHAR2 := fnd_api.g_miss_char
2317     , p7_a186  VARCHAR2 := fnd_api.g_miss_char
2318     , p7_a187  VARCHAR2 := fnd_api.g_miss_char
2319     , p7_a188  VARCHAR2 := fnd_api.g_miss_char
2320     , p7_a189  VARCHAR2 := fnd_api.g_miss_char
2321     , p7_a190  VARCHAR2 := fnd_api.g_miss_char
2322     , p7_a191  VARCHAR2 := fnd_api.g_miss_char
2323     , p7_a192  VARCHAR2 := fnd_api.g_miss_char
2324     , p7_a193  VARCHAR2 := fnd_api.g_miss_char
2325     , p7_a194  VARCHAR2 := fnd_api.g_miss_char
2326     , p7_a195  VARCHAR2 := fnd_api.g_miss_char
2327     , p7_a196  VARCHAR2 := fnd_api.g_miss_char
2328     , p7_a197  VARCHAR2 := fnd_api.g_miss_char
2329     , p7_a198  VARCHAR2 := fnd_api.g_miss_char
2330     , p7_a199  VARCHAR2 := fnd_api.g_miss_char
2331     , p7_a200  VARCHAR2 := fnd_api.g_miss_char
2332     , p7_a201  VARCHAR2 := fnd_api.g_miss_char
2333     , p7_a202  VARCHAR2 := fnd_api.g_miss_char
2334     , p7_a203  VARCHAR2 := fnd_api.g_miss_char
2335     , p7_a204  VARCHAR2 := fnd_api.g_miss_char
2336     , p7_a205  VARCHAR2 := fnd_api.g_miss_char
2337     , p7_a206  VARCHAR2 := fnd_api.g_miss_char
2338     , p7_a207  VARCHAR2 := fnd_api.g_miss_char
2339     , p7_a208  VARCHAR2 := fnd_api.g_miss_char
2340     , p7_a209  VARCHAR2 := fnd_api.g_miss_char
2341     , p7_a210  VARCHAR2 := fnd_api.g_miss_char
2342     , p7_a211  VARCHAR2 := fnd_api.g_miss_char
2343     , p7_a212  VARCHAR2 := fnd_api.g_miss_char
2344     , p7_a213  VARCHAR2 := fnd_api.g_miss_char
2345     , p7_a214  VARCHAR2 := fnd_api.g_miss_char
2346     , p7_a215  VARCHAR2 := fnd_api.g_miss_char
2347     , p7_a216  VARCHAR2 := fnd_api.g_miss_char
2348     , p7_a217  VARCHAR2 := fnd_api.g_miss_char
2349     , p7_a218  VARCHAR2 := fnd_api.g_miss_char
2350     , p7_a219  VARCHAR2 := fnd_api.g_miss_char
2354     , p7_a223  VARCHAR2 := fnd_api.g_miss_char
2351     , p7_a220  VARCHAR2 := fnd_api.g_miss_char
2352     , p7_a221  VARCHAR2 := fnd_api.g_miss_char
2353     , p7_a222  VARCHAR2 := fnd_api.g_miss_char
2355     , p7_a224  VARCHAR2 := fnd_api.g_miss_char
2356     , p7_a225  VARCHAR2 := fnd_api.g_miss_char
2357     , p7_a226  VARCHAR2 := fnd_api.g_miss_char
2358     , p7_a227  VARCHAR2 := fnd_api.g_miss_char
2359     , p7_a228  VARCHAR2 := fnd_api.g_miss_char
2360     , p7_a229  VARCHAR2 := fnd_api.g_miss_char
2361     , p7_a230  VARCHAR2 := fnd_api.g_miss_char
2362     , p7_a231  VARCHAR2 := fnd_api.g_miss_char
2363     , p7_a232  VARCHAR2 := fnd_api.g_miss_char
2364     , p7_a233  VARCHAR2 := fnd_api.g_miss_char
2365     , p7_a234  VARCHAR2 := fnd_api.g_miss_char
2366     , p7_a235  VARCHAR2 := fnd_api.g_miss_char
2367     , p7_a236  VARCHAR2 := fnd_api.g_miss_char
2368     , p7_a237  VARCHAR2 := fnd_api.g_miss_char
2369     , p7_a238  VARCHAR2 := fnd_api.g_miss_char
2370     , p7_a239  VARCHAR2 := fnd_api.g_miss_char
2371     , p7_a240  VARCHAR2 := fnd_api.g_miss_char
2372     , p7_a241  VARCHAR2 := fnd_api.g_miss_char
2373     , p7_a242  VARCHAR2 := fnd_api.g_miss_char
2374     , p7_a243  VARCHAR2 := fnd_api.g_miss_char
2375     , p7_a244  VARCHAR2 := fnd_api.g_miss_char
2376     , p7_a245  VARCHAR2 := fnd_api.g_miss_char
2377     , p7_a246  VARCHAR2 := fnd_api.g_miss_char
2378     , p7_a247  VARCHAR2 := fnd_api.g_miss_char
2379     , p7_a248  VARCHAR2 := fnd_api.g_miss_char
2380     , p7_a249  VARCHAR2 := fnd_api.g_miss_char
2381     , p7_a250  VARCHAR2 := fnd_api.g_miss_char
2382     , p7_a251  VARCHAR2 := fnd_api.g_miss_char
2383     , p7_a252  VARCHAR2 := fnd_api.g_miss_char
2384     , p7_a253  VARCHAR2 := fnd_api.g_miss_char
2385     , p7_a254  VARCHAR2 := fnd_api.g_miss_char
2386     , p7_a255  VARCHAR2 := fnd_api.g_miss_char
2387     , p7_a256  VARCHAR2 := fnd_api.g_miss_char
2388     , p7_a257  VARCHAR2 := fnd_api.g_miss_char
2389     , p7_a258  VARCHAR2 := fnd_api.g_miss_char
2390     , p7_a259  VARCHAR2 := fnd_api.g_miss_char
2391     , p7_a260  VARCHAR2 := fnd_api.g_miss_char
2392     , p7_a261  VARCHAR2 := fnd_api.g_miss_char
2393     , p7_a262  VARCHAR2 := fnd_api.g_miss_char
2394     , p7_a263  VARCHAR2 := fnd_api.g_miss_char
2395     , p7_a264  VARCHAR2 := fnd_api.g_miss_char
2396     , p7_a265  NUMBER := 0-1962.0724
2397     , p7_a266  VARCHAR2 := fnd_api.g_miss_char
2398     , p7_a267  VARCHAR2 := fnd_api.g_miss_char
2399     , p7_a268  VARCHAR2 := fnd_api.g_miss_char
2400     , p7_a269  VARCHAR2 := fnd_api.g_miss_char
2401     , p7_a270  VARCHAR2 := fnd_api.g_miss_char
2402     , p7_a271  VARCHAR2 := fnd_api.g_miss_char
2403     , p7_a272  VARCHAR2 := fnd_api.g_miss_char
2404     , p7_a273  VARCHAR2 := fnd_api.g_miss_char
2405     , p7_a274  VARCHAR2 := fnd_api.g_miss_char
2406     , p7_a275  VARCHAR2 := fnd_api.g_miss_char
2407     , p7_a276  VARCHAR2 := fnd_api.g_miss_char
2408     , p7_a277  VARCHAR2 := fnd_api.g_miss_char
2409     , p7_a278  VARCHAR2 := fnd_api.g_miss_char
2410     , p7_a279  VARCHAR2 := fnd_api.g_miss_char
2411     , p7_a280  VARCHAR2 := fnd_api.g_miss_char
2412     , p7_a281  VARCHAR2 := fnd_api.g_miss_char
2413     , p7_a282  VARCHAR2 := fnd_api.g_miss_char
2414     , p7_a283  VARCHAR2 := fnd_api.g_miss_char
2415     , p7_a284  VARCHAR2 := fnd_api.g_miss_char
2416     , p7_a285  VARCHAR2 := fnd_api.g_miss_char
2417     , p7_a286  VARCHAR2 := fnd_api.g_miss_char
2418     , p7_a287  VARCHAR2 := fnd_api.g_miss_char
2419     , p7_a288  VARCHAR2 := fnd_api.g_miss_char
2420     , p7_a289  VARCHAR2 := fnd_api.g_miss_char
2421     , p7_a290  VARCHAR2 := fnd_api.g_miss_char
2422     , p7_a291  VARCHAR2 := fnd_api.g_miss_char
2423     , p7_a292  VARCHAR2 := fnd_api.g_miss_char
2424     , p7_a293  VARCHAR2 := fnd_api.g_miss_char
2425     , p7_a294  VARCHAR2 := fnd_api.g_miss_char
2426   )
2427 
2428   as
2429     ddp_is_line_rec ams_is_line_pvt.is_line_rec_type;
2430     ddindx binary_integer; indx binary_integer;
2431   begin
2432 
2433     -- copy data to the local IN or IN-OUT args, if any
2434 
2435 
2436 
2437 
2438 
2439 
2440 
2441     ddp_is_line_rec.import_source_line_id := rosetta_g_miss_num_map(p7_a0);
2442     ddp_is_line_rec.object_version_number := rosetta_g_miss_num_map(p7_a1);
2443     ddp_is_line_rec.last_update_date := rosetta_g_miss_date_in_map(p7_a2);
2444     ddp_is_line_rec.last_updated_by := rosetta_g_miss_num_map(p7_a3);
2445     ddp_is_line_rec.creation_date := rosetta_g_miss_date_in_map(p7_a4);
2446     ddp_is_line_rec.created_by := rosetta_g_miss_num_map(p7_a5);
2447     ddp_is_line_rec.last_update_login := rosetta_g_miss_num_map(p7_a6);
2448     ddp_is_line_rec.import_list_header_id := rosetta_g_miss_num_map(p7_a7);
2449     ddp_is_line_rec.import_successful_flag := p7_a8;
2450     ddp_is_line_rec.enabled_flag := p7_a9;
2451     ddp_is_line_rec.import_failure_reason := p7_a10;
2452     ddp_is_line_rec.re_import_last_done_date := rosetta_g_miss_date_in_map(p7_a11);
2453     ddp_is_line_rec.party_id := rosetta_g_miss_num_map(p7_a12);
2454     ddp_is_line_rec.dedupe_key := p7_a13;
2455     ddp_is_line_rec.col1 := p7_a14;
2456     ddp_is_line_rec.col2 := p7_a15;
2457     ddp_is_line_rec.col3 := p7_a16;
2458     ddp_is_line_rec.col4 := p7_a17;
2459     ddp_is_line_rec.col5 := p7_a18;
2460     ddp_is_line_rec.col6 := p7_a19;
2461     ddp_is_line_rec.col7 := p7_a20;
2462     ddp_is_line_rec.col8 := p7_a21;
2463     ddp_is_line_rec.col9 := p7_a22;
2464     ddp_is_line_rec.col10 := p7_a23;
2465     ddp_is_line_rec.col11 := p7_a24;
2466     ddp_is_line_rec.col12 := p7_a25;
2470     ddp_is_line_rec.col16 := p7_a29;
2467     ddp_is_line_rec.col13 := p7_a26;
2468     ddp_is_line_rec.col14 := p7_a27;
2469     ddp_is_line_rec.col15 := p7_a28;
2471     ddp_is_line_rec.col17 := p7_a30;
2472     ddp_is_line_rec.col18 := p7_a31;
2473     ddp_is_line_rec.col19 := p7_a32;
2474     ddp_is_line_rec.col20 := p7_a33;
2475     ddp_is_line_rec.col21 := p7_a34;
2476     ddp_is_line_rec.col22 := p7_a35;
2477     ddp_is_line_rec.col23 := p7_a36;
2478     ddp_is_line_rec.col24 := p7_a37;
2479     ddp_is_line_rec.col25 := p7_a38;
2480     ddp_is_line_rec.col26 := p7_a39;
2481     ddp_is_line_rec.col27 := p7_a40;
2482     ddp_is_line_rec.col28 := p7_a41;
2483     ddp_is_line_rec.col29 := p7_a42;
2484     ddp_is_line_rec.col30 := p7_a43;
2485     ddp_is_line_rec.col31 := p7_a44;
2486     ddp_is_line_rec.col32 := p7_a45;
2487     ddp_is_line_rec.col33 := p7_a46;
2488     ddp_is_line_rec.col34 := p7_a47;
2489     ddp_is_line_rec.col35 := p7_a48;
2490     ddp_is_line_rec.col36 := p7_a49;
2491     ddp_is_line_rec.col37 := p7_a50;
2492     ddp_is_line_rec.col38 := p7_a51;
2493     ddp_is_line_rec.col39 := p7_a52;
2494     ddp_is_line_rec.col40 := p7_a53;
2495     ddp_is_line_rec.col41 := p7_a54;
2496     ddp_is_line_rec.col42 := p7_a55;
2497     ddp_is_line_rec.col43 := p7_a56;
2498     ddp_is_line_rec.col44 := p7_a57;
2499     ddp_is_line_rec.col45 := p7_a58;
2500     ddp_is_line_rec.col46 := p7_a59;
2501     ddp_is_line_rec.col47 := p7_a60;
2502     ddp_is_line_rec.col48 := p7_a61;
2503     ddp_is_line_rec.col49 := p7_a62;
2504     ddp_is_line_rec.col50 := p7_a63;
2505     ddp_is_line_rec.col51 := p7_a64;
2506     ddp_is_line_rec.col52 := p7_a65;
2507     ddp_is_line_rec.col53 := p7_a66;
2508     ddp_is_line_rec.col54 := p7_a67;
2509     ddp_is_line_rec.col55 := p7_a68;
2510     ddp_is_line_rec.col56 := p7_a69;
2511     ddp_is_line_rec.col57 := p7_a70;
2512     ddp_is_line_rec.col58 := p7_a71;
2513     ddp_is_line_rec.col59 := p7_a72;
2514     ddp_is_line_rec.col60 := p7_a73;
2515     ddp_is_line_rec.col61 := p7_a74;
2516     ddp_is_line_rec.col62 := p7_a75;
2517     ddp_is_line_rec.col63 := p7_a76;
2518     ddp_is_line_rec.col64 := p7_a77;
2519     ddp_is_line_rec.col65 := p7_a78;
2520     ddp_is_line_rec.col66 := p7_a79;
2521     ddp_is_line_rec.col67 := p7_a80;
2522     ddp_is_line_rec.col68 := p7_a81;
2523     ddp_is_line_rec.col69 := p7_a82;
2524     ddp_is_line_rec.col70 := p7_a83;
2525     ddp_is_line_rec.col71 := p7_a84;
2526     ddp_is_line_rec.col72 := p7_a85;
2527     ddp_is_line_rec.col73 := p7_a86;
2528     ddp_is_line_rec.col74 := p7_a87;
2529     ddp_is_line_rec.col75 := p7_a88;
2530     ddp_is_line_rec.col76 := p7_a89;
2531     ddp_is_line_rec.col77 := p7_a90;
2532     ddp_is_line_rec.col78 := p7_a91;
2533     ddp_is_line_rec.col79 := p7_a92;
2534     ddp_is_line_rec.col80 := p7_a93;
2535     ddp_is_line_rec.col81 := p7_a94;
2536     ddp_is_line_rec.col82 := p7_a95;
2537     ddp_is_line_rec.col83 := p7_a96;
2538     ddp_is_line_rec.col84 := p7_a97;
2539     ddp_is_line_rec.col85 := p7_a98;
2540     ddp_is_line_rec.col86 := p7_a99;
2541     ddp_is_line_rec.col87 := p7_a100;
2542     ddp_is_line_rec.col88 := p7_a101;
2543     ddp_is_line_rec.col89 := p7_a102;
2544     ddp_is_line_rec.col90 := p7_a103;
2545     ddp_is_line_rec.col91 := p7_a104;
2546     ddp_is_line_rec.col92 := p7_a105;
2547     ddp_is_line_rec.col93 := p7_a106;
2548     ddp_is_line_rec.col94 := p7_a107;
2549     ddp_is_line_rec.col95 := p7_a108;
2550     ddp_is_line_rec.col96 := p7_a109;
2551     ddp_is_line_rec.col97 := p7_a110;
2552     ddp_is_line_rec.col98 := p7_a111;
2553     ddp_is_line_rec.col99 := p7_a112;
2554     ddp_is_line_rec.col100 := p7_a113;
2555     ddp_is_line_rec.col101 := p7_a114;
2556     ddp_is_line_rec.col102 := p7_a115;
2557     ddp_is_line_rec.col103 := p7_a116;
2558     ddp_is_line_rec.col104 := p7_a117;
2559     ddp_is_line_rec.col105 := p7_a118;
2560     ddp_is_line_rec.col106 := p7_a119;
2561     ddp_is_line_rec.col107 := p7_a120;
2562     ddp_is_line_rec.col108 := p7_a121;
2563     ddp_is_line_rec.col109 := p7_a122;
2564     ddp_is_line_rec.col110 := p7_a123;
2565     ddp_is_line_rec.col111 := p7_a124;
2566     ddp_is_line_rec.col112 := p7_a125;
2567     ddp_is_line_rec.col113 := p7_a126;
2568     ddp_is_line_rec.col114 := p7_a127;
2569     ddp_is_line_rec.col115 := p7_a128;
2570     ddp_is_line_rec.col116 := p7_a129;
2571     ddp_is_line_rec.col117 := p7_a130;
2572     ddp_is_line_rec.col118 := p7_a131;
2573     ddp_is_line_rec.col119 := p7_a132;
2574     ddp_is_line_rec.col120 := p7_a133;
2575     ddp_is_line_rec.col121 := p7_a134;
2576     ddp_is_line_rec.col122 := p7_a135;
2577     ddp_is_line_rec.col123 := p7_a136;
2578     ddp_is_line_rec.col124 := p7_a137;
2579     ddp_is_line_rec.col125 := p7_a138;
2580     ddp_is_line_rec.col126 := p7_a139;
2581     ddp_is_line_rec.col127 := p7_a140;
2582     ddp_is_line_rec.col128 := p7_a141;
2583     ddp_is_line_rec.col129 := p7_a142;
2584     ddp_is_line_rec.col130 := p7_a143;
2585     ddp_is_line_rec.col131 := p7_a144;
2586     ddp_is_line_rec.col132 := p7_a145;
2587     ddp_is_line_rec.col133 := p7_a146;
2588     ddp_is_line_rec.col134 := p7_a147;
2589     ddp_is_line_rec.col135 := p7_a148;
2590     ddp_is_line_rec.col136 := p7_a149;
2591     ddp_is_line_rec.col137 := p7_a150;
2592     ddp_is_line_rec.col138 := p7_a151;
2593     ddp_is_line_rec.col139 := p7_a152;
2594     ddp_is_line_rec.col140 := p7_a153;
2595     ddp_is_line_rec.col141 := p7_a154;
2599     ddp_is_line_rec.col145 := p7_a158;
2596     ddp_is_line_rec.col142 := p7_a155;
2597     ddp_is_line_rec.col143 := p7_a156;
2598     ddp_is_line_rec.col144 := p7_a157;
2600     ddp_is_line_rec.col146 := p7_a159;
2601     ddp_is_line_rec.col147 := p7_a160;
2602     ddp_is_line_rec.col148 := p7_a161;
2603     ddp_is_line_rec.col149 := p7_a162;
2604     ddp_is_line_rec.col150 := p7_a163;
2605     ddp_is_line_rec.col151 := p7_a164;
2606     ddp_is_line_rec.col152 := p7_a165;
2607     ddp_is_line_rec.col153 := p7_a166;
2608     ddp_is_line_rec.col154 := p7_a167;
2609     ddp_is_line_rec.col155 := p7_a168;
2610     ddp_is_line_rec.col156 := p7_a169;
2611     ddp_is_line_rec.col157 := p7_a170;
2612     ddp_is_line_rec.col158 := p7_a171;
2613     ddp_is_line_rec.col159 := p7_a172;
2614     ddp_is_line_rec.col160 := p7_a173;
2615     ddp_is_line_rec.col161 := p7_a174;
2616     ddp_is_line_rec.col162 := p7_a175;
2617     ddp_is_line_rec.col163 := p7_a176;
2618     ddp_is_line_rec.col164 := p7_a177;
2619     ddp_is_line_rec.col165 := p7_a178;
2620     ddp_is_line_rec.col166 := p7_a179;
2621     ddp_is_line_rec.col167 := p7_a180;
2622     ddp_is_line_rec.col168 := p7_a181;
2623     ddp_is_line_rec.col169 := p7_a182;
2624     ddp_is_line_rec.col170 := p7_a183;
2625     ddp_is_line_rec.col171 := p7_a184;
2626     ddp_is_line_rec.col172 := p7_a185;
2627     ddp_is_line_rec.col173 := p7_a186;
2628     ddp_is_line_rec.col174 := p7_a187;
2629     ddp_is_line_rec.col175 := p7_a188;
2630     ddp_is_line_rec.col176 := p7_a189;
2631     ddp_is_line_rec.col177 := p7_a190;
2632     ddp_is_line_rec.col178 := p7_a191;
2633     ddp_is_line_rec.col179 := p7_a192;
2634     ddp_is_line_rec.col180 := p7_a193;
2635     ddp_is_line_rec.col181 := p7_a194;
2636     ddp_is_line_rec.col182 := p7_a195;
2637     ddp_is_line_rec.col183 := p7_a196;
2638     ddp_is_line_rec.col184 := p7_a197;
2639     ddp_is_line_rec.col185 := p7_a198;
2640     ddp_is_line_rec.col186 := p7_a199;
2641     ddp_is_line_rec.col187 := p7_a200;
2642     ddp_is_line_rec.col188 := p7_a201;
2643     ddp_is_line_rec.col189 := p7_a202;
2644     ddp_is_line_rec.col190 := p7_a203;
2645     ddp_is_line_rec.col191 := p7_a204;
2646     ddp_is_line_rec.col192 := p7_a205;
2647     ddp_is_line_rec.col193 := p7_a206;
2648     ddp_is_line_rec.col194 := p7_a207;
2649     ddp_is_line_rec.col195 := p7_a208;
2650     ddp_is_line_rec.col196 := p7_a209;
2651     ddp_is_line_rec.col197 := p7_a210;
2652     ddp_is_line_rec.col198 := p7_a211;
2653     ddp_is_line_rec.col199 := p7_a212;
2654     ddp_is_line_rec.col200 := p7_a213;
2655     ddp_is_line_rec.col201 := p7_a214;
2656     ddp_is_line_rec.col202 := p7_a215;
2657     ddp_is_line_rec.col203 := p7_a216;
2658     ddp_is_line_rec.col204 := p7_a217;
2659     ddp_is_line_rec.col205 := p7_a218;
2660     ddp_is_line_rec.col206 := p7_a219;
2661     ddp_is_line_rec.col207 := p7_a220;
2662     ddp_is_line_rec.col208 := p7_a221;
2663     ddp_is_line_rec.col209 := p7_a222;
2664     ddp_is_line_rec.col210 := p7_a223;
2665     ddp_is_line_rec.col211 := p7_a224;
2666     ddp_is_line_rec.col212 := p7_a225;
2667     ddp_is_line_rec.col213 := p7_a226;
2668     ddp_is_line_rec.col214 := p7_a227;
2669     ddp_is_line_rec.col215 := p7_a228;
2670     ddp_is_line_rec.col216 := p7_a229;
2671     ddp_is_line_rec.col217 := p7_a230;
2672     ddp_is_line_rec.col218 := p7_a231;
2673     ddp_is_line_rec.col219 := p7_a232;
2674     ddp_is_line_rec.col220 := p7_a233;
2675     ddp_is_line_rec.col221 := p7_a234;
2676     ddp_is_line_rec.col222 := p7_a235;
2677     ddp_is_line_rec.col223 := p7_a236;
2678     ddp_is_line_rec.col224 := p7_a237;
2679     ddp_is_line_rec.col225 := p7_a238;
2680     ddp_is_line_rec.col226 := p7_a239;
2681     ddp_is_line_rec.col227 := p7_a240;
2682     ddp_is_line_rec.col228 := p7_a241;
2683     ddp_is_line_rec.col229 := p7_a242;
2684     ddp_is_line_rec.col230 := p7_a243;
2685     ddp_is_line_rec.col231 := p7_a244;
2686     ddp_is_line_rec.col232 := p7_a245;
2687     ddp_is_line_rec.col233 := p7_a246;
2688     ddp_is_line_rec.col234 := p7_a247;
2689     ddp_is_line_rec.col235 := p7_a248;
2690     ddp_is_line_rec.col236 := p7_a249;
2691     ddp_is_line_rec.col237 := p7_a250;
2692     ddp_is_line_rec.col238 := p7_a251;
2693     ddp_is_line_rec.col239 := p7_a252;
2694     ddp_is_line_rec.col240 := p7_a253;
2695     ddp_is_line_rec.col241 := p7_a254;
2696     ddp_is_line_rec.col242 := p7_a255;
2697     ddp_is_line_rec.col243 := p7_a256;
2698     ddp_is_line_rec.col244 := p7_a257;
2699     ddp_is_line_rec.col245 := p7_a258;
2700     ddp_is_line_rec.col246 := p7_a259;
2701     ddp_is_line_rec.col247 := p7_a260;
2702     ddp_is_line_rec.col248 := p7_a261;
2703     ddp_is_line_rec.col249 := p7_a262;
2704     ddp_is_line_rec.col250 := p7_a263;
2705     ddp_is_line_rec.duplicate_flag := p7_a264;
2706     ddp_is_line_rec.current_usage := rosetta_g_miss_num_map(p7_a265);
2707     ddp_is_line_rec.load_status := p7_a266;
2708     ddp_is_line_rec.notes := p7_a267;
2709     ddp_is_line_rec.sales_agent_email_address := p7_a268;
2710     ddp_is_line_rec.vehicle_response_code := p7_a269;
2711     ddp_is_line_rec.custom_column1 := p7_a270;
2712     ddp_is_line_rec.custom_column2 := p7_a271;
2713     ddp_is_line_rec.custom_column3 := p7_a272;
2714     ddp_is_line_rec.custom_column4 := p7_a273;
2715     ddp_is_line_rec.custom_column5 := p7_a274;
2716     ddp_is_line_rec.custom_column6 := p7_a275;
2717     ddp_is_line_rec.custom_column7 := p7_a276;
2721     ddp_is_line_rec.custom_column11 := p7_a280;
2718     ddp_is_line_rec.custom_column8 := p7_a277;
2719     ddp_is_line_rec.custom_column9 := p7_a278;
2720     ddp_is_line_rec.custom_column10 := p7_a279;
2722     ddp_is_line_rec.custom_column12 := p7_a281;
2723     ddp_is_line_rec.custom_column13 := p7_a282;
2724     ddp_is_line_rec.custom_column14 := p7_a283;
2725     ddp_is_line_rec.custom_column15 := p7_a284;
2726     ddp_is_line_rec.custom_column16 := p7_a285;
2727     ddp_is_line_rec.custom_column17 := p7_a286;
2728     ddp_is_line_rec.custom_column18 := p7_a287;
2729     ddp_is_line_rec.custom_column19 := p7_a288;
2730     ddp_is_line_rec.custom_column20 := p7_a289;
2731     ddp_is_line_rec.custom_column21 := p7_a290;
2732     ddp_is_line_rec.custom_column22 := p7_a291;
2733     ddp_is_line_rec.custom_column23 := p7_a292;
2734     ddp_is_line_rec.custom_column24 := p7_a293;
2735     ddp_is_line_rec.custom_column25 := p7_a294;
2736 
2737 
2738     -- here's the delegated call to the old PL/SQL routine
2739     ams_is_line_pvt.create_is_line(p_api_version_number,
2740       p_init_msg_list,
2741       p_commit,
2742       p_validation_level,
2743       x_return_status,
2744       x_msg_count,
2745       x_msg_data,
2746       ddp_is_line_rec,
2747       x_import_source_line_id);
2748 
2749     -- copy data back from the local variables to OUT or IN-OUT args, if any
2750 
2751 
2752 
2753 
2754 
2755 
2756 
2757 
2758   end;
2759 
2760   procedure update_is_line(p_api_version_number  NUMBER
2761     , p_init_msg_list  VARCHAR2
2762     , p_commit  VARCHAR2
2763     , p_validation_level  NUMBER
2764     , x_return_status out nocopy  VARCHAR2
2765     , x_msg_count out nocopy  NUMBER
2766     , x_msg_data out nocopy  VARCHAR2
2767     , x_object_version_number out nocopy  NUMBER
2768     , p7_a0  NUMBER := 0-1962.0724
2769     , p7_a1  NUMBER := 0-1962.0724
2770     , p7_a2  DATE := fnd_api.g_miss_date
2771     , p7_a3  NUMBER := 0-1962.0724
2772     , p7_a4  DATE := fnd_api.g_miss_date
2773     , p7_a5  NUMBER := 0-1962.0724
2774     , p7_a6  NUMBER := 0-1962.0724
2775     , p7_a7  NUMBER := 0-1962.0724
2776     , p7_a8  VARCHAR2 := fnd_api.g_miss_char
2777     , p7_a9  VARCHAR2 := fnd_api.g_miss_char
2778     , p7_a10  VARCHAR2 := fnd_api.g_miss_char
2779     , p7_a11  DATE := fnd_api.g_miss_date
2780     , p7_a12  NUMBER := 0-1962.0724
2781     , p7_a13  VARCHAR2 := fnd_api.g_miss_char
2782     , p7_a14  VARCHAR2 := fnd_api.g_miss_char
2783     , p7_a15  VARCHAR2 := fnd_api.g_miss_char
2784     , p7_a16  VARCHAR2 := fnd_api.g_miss_char
2785     , p7_a17  VARCHAR2 := fnd_api.g_miss_char
2786     , p7_a18  VARCHAR2 := fnd_api.g_miss_char
2787     , p7_a19  VARCHAR2 := fnd_api.g_miss_char
2788     , p7_a20  VARCHAR2 := fnd_api.g_miss_char
2789     , p7_a21  VARCHAR2 := fnd_api.g_miss_char
2790     , p7_a22  VARCHAR2 := fnd_api.g_miss_char
2791     , p7_a23  VARCHAR2 := fnd_api.g_miss_char
2792     , p7_a24  VARCHAR2 := fnd_api.g_miss_char
2793     , p7_a25  VARCHAR2 := fnd_api.g_miss_char
2794     , p7_a26  VARCHAR2 := fnd_api.g_miss_char
2795     , p7_a27  VARCHAR2 := fnd_api.g_miss_char
2796     , p7_a28  VARCHAR2 := fnd_api.g_miss_char
2797     , p7_a29  VARCHAR2 := fnd_api.g_miss_char
2798     , p7_a30  VARCHAR2 := fnd_api.g_miss_char
2799     , p7_a31  VARCHAR2 := fnd_api.g_miss_char
2800     , p7_a32  VARCHAR2 := fnd_api.g_miss_char
2801     , p7_a33  VARCHAR2 := fnd_api.g_miss_char
2802     , p7_a34  VARCHAR2 := fnd_api.g_miss_char
2803     , p7_a35  VARCHAR2 := fnd_api.g_miss_char
2804     , p7_a36  VARCHAR2 := fnd_api.g_miss_char
2805     , p7_a37  VARCHAR2 := fnd_api.g_miss_char
2806     , p7_a38  VARCHAR2 := fnd_api.g_miss_char
2807     , p7_a39  VARCHAR2 := fnd_api.g_miss_char
2808     , p7_a40  VARCHAR2 := fnd_api.g_miss_char
2809     , p7_a41  VARCHAR2 := fnd_api.g_miss_char
2810     , p7_a42  VARCHAR2 := fnd_api.g_miss_char
2811     , p7_a43  VARCHAR2 := fnd_api.g_miss_char
2812     , p7_a44  VARCHAR2 := fnd_api.g_miss_char
2813     , p7_a45  VARCHAR2 := fnd_api.g_miss_char
2814     , p7_a46  VARCHAR2 := fnd_api.g_miss_char
2815     , p7_a47  VARCHAR2 := fnd_api.g_miss_char
2816     , p7_a48  VARCHAR2 := fnd_api.g_miss_char
2817     , p7_a49  VARCHAR2 := fnd_api.g_miss_char
2818     , p7_a50  VARCHAR2 := fnd_api.g_miss_char
2819     , p7_a51  VARCHAR2 := fnd_api.g_miss_char
2820     , p7_a52  VARCHAR2 := fnd_api.g_miss_char
2821     , p7_a53  VARCHAR2 := fnd_api.g_miss_char
2822     , p7_a54  VARCHAR2 := fnd_api.g_miss_char
2823     , p7_a55  VARCHAR2 := fnd_api.g_miss_char
2824     , p7_a56  VARCHAR2 := fnd_api.g_miss_char
2825     , p7_a57  VARCHAR2 := fnd_api.g_miss_char
2826     , p7_a58  VARCHAR2 := fnd_api.g_miss_char
2827     , p7_a59  VARCHAR2 := fnd_api.g_miss_char
2828     , p7_a60  VARCHAR2 := fnd_api.g_miss_char
2829     , p7_a61  VARCHAR2 := fnd_api.g_miss_char
2830     , p7_a62  VARCHAR2 := fnd_api.g_miss_char
2831     , p7_a63  VARCHAR2 := fnd_api.g_miss_char
2832     , p7_a64  VARCHAR2 := fnd_api.g_miss_char
2833     , p7_a65  VARCHAR2 := fnd_api.g_miss_char
2834     , p7_a66  VARCHAR2 := fnd_api.g_miss_char
2835     , p7_a67  VARCHAR2 := fnd_api.g_miss_char
2836     , p7_a68  VARCHAR2 := fnd_api.g_miss_char
2837     , p7_a69  VARCHAR2 := fnd_api.g_miss_char
2838     , p7_a70  VARCHAR2 := fnd_api.g_miss_char
2839     , p7_a71  VARCHAR2 := fnd_api.g_miss_char
2840     , p7_a72  VARCHAR2 := fnd_api.g_miss_char
2841     , p7_a73  VARCHAR2 := fnd_api.g_miss_char
2845     , p7_a77  VARCHAR2 := fnd_api.g_miss_char
2842     , p7_a74  VARCHAR2 := fnd_api.g_miss_char
2843     , p7_a75  VARCHAR2 := fnd_api.g_miss_char
2844     , p7_a76  VARCHAR2 := fnd_api.g_miss_char
2846     , p7_a78  VARCHAR2 := fnd_api.g_miss_char
2847     , p7_a79  VARCHAR2 := fnd_api.g_miss_char
2848     , p7_a80  VARCHAR2 := fnd_api.g_miss_char
2849     , p7_a81  VARCHAR2 := fnd_api.g_miss_char
2850     , p7_a82  VARCHAR2 := fnd_api.g_miss_char
2851     , p7_a83  VARCHAR2 := fnd_api.g_miss_char
2852     , p7_a84  VARCHAR2 := fnd_api.g_miss_char
2853     , p7_a85  VARCHAR2 := fnd_api.g_miss_char
2854     , p7_a86  VARCHAR2 := fnd_api.g_miss_char
2855     , p7_a87  VARCHAR2 := fnd_api.g_miss_char
2856     , p7_a88  VARCHAR2 := fnd_api.g_miss_char
2857     , p7_a89  VARCHAR2 := fnd_api.g_miss_char
2858     , p7_a90  VARCHAR2 := fnd_api.g_miss_char
2859     , p7_a91  VARCHAR2 := fnd_api.g_miss_char
2860     , p7_a92  VARCHAR2 := fnd_api.g_miss_char
2861     , p7_a93  VARCHAR2 := fnd_api.g_miss_char
2862     , p7_a94  VARCHAR2 := fnd_api.g_miss_char
2863     , p7_a95  VARCHAR2 := fnd_api.g_miss_char
2864     , p7_a96  VARCHAR2 := fnd_api.g_miss_char
2865     , p7_a97  VARCHAR2 := fnd_api.g_miss_char
2866     , p7_a98  VARCHAR2 := fnd_api.g_miss_char
2867     , p7_a99  VARCHAR2 := fnd_api.g_miss_char
2868     , p7_a100  VARCHAR2 := fnd_api.g_miss_char
2869     , p7_a101  VARCHAR2 := fnd_api.g_miss_char
2870     , p7_a102  VARCHAR2 := fnd_api.g_miss_char
2871     , p7_a103  VARCHAR2 := fnd_api.g_miss_char
2872     , p7_a104  VARCHAR2 := fnd_api.g_miss_char
2873     , p7_a105  VARCHAR2 := fnd_api.g_miss_char
2874     , p7_a106  VARCHAR2 := fnd_api.g_miss_char
2875     , p7_a107  VARCHAR2 := fnd_api.g_miss_char
2876     , p7_a108  VARCHAR2 := fnd_api.g_miss_char
2877     , p7_a109  VARCHAR2 := fnd_api.g_miss_char
2878     , p7_a110  VARCHAR2 := fnd_api.g_miss_char
2879     , p7_a111  VARCHAR2 := fnd_api.g_miss_char
2880     , p7_a112  VARCHAR2 := fnd_api.g_miss_char
2881     , p7_a113  VARCHAR2 := fnd_api.g_miss_char
2882     , p7_a114  VARCHAR2 := fnd_api.g_miss_char
2883     , p7_a115  VARCHAR2 := fnd_api.g_miss_char
2884     , p7_a116  VARCHAR2 := fnd_api.g_miss_char
2885     , p7_a117  VARCHAR2 := fnd_api.g_miss_char
2886     , p7_a118  VARCHAR2 := fnd_api.g_miss_char
2887     , p7_a119  VARCHAR2 := fnd_api.g_miss_char
2888     , p7_a120  VARCHAR2 := fnd_api.g_miss_char
2889     , p7_a121  VARCHAR2 := fnd_api.g_miss_char
2890     , p7_a122  VARCHAR2 := fnd_api.g_miss_char
2891     , p7_a123  VARCHAR2 := fnd_api.g_miss_char
2892     , p7_a124  VARCHAR2 := fnd_api.g_miss_char
2893     , p7_a125  VARCHAR2 := fnd_api.g_miss_char
2894     , p7_a126  VARCHAR2 := fnd_api.g_miss_char
2895     , p7_a127  VARCHAR2 := fnd_api.g_miss_char
2896     , p7_a128  VARCHAR2 := fnd_api.g_miss_char
2897     , p7_a129  VARCHAR2 := fnd_api.g_miss_char
2898     , p7_a130  VARCHAR2 := fnd_api.g_miss_char
2899     , p7_a131  VARCHAR2 := fnd_api.g_miss_char
2900     , p7_a132  VARCHAR2 := fnd_api.g_miss_char
2901     , p7_a133  VARCHAR2 := fnd_api.g_miss_char
2902     , p7_a134  VARCHAR2 := fnd_api.g_miss_char
2903     , p7_a135  VARCHAR2 := fnd_api.g_miss_char
2904     , p7_a136  VARCHAR2 := fnd_api.g_miss_char
2905     , p7_a137  VARCHAR2 := fnd_api.g_miss_char
2906     , p7_a138  VARCHAR2 := fnd_api.g_miss_char
2907     , p7_a139  VARCHAR2 := fnd_api.g_miss_char
2908     , p7_a140  VARCHAR2 := fnd_api.g_miss_char
2909     , p7_a141  VARCHAR2 := fnd_api.g_miss_char
2910     , p7_a142  VARCHAR2 := fnd_api.g_miss_char
2911     , p7_a143  VARCHAR2 := fnd_api.g_miss_char
2912     , p7_a144  VARCHAR2 := fnd_api.g_miss_char
2913     , p7_a145  VARCHAR2 := fnd_api.g_miss_char
2914     , p7_a146  VARCHAR2 := fnd_api.g_miss_char
2915     , p7_a147  VARCHAR2 := fnd_api.g_miss_char
2916     , p7_a148  VARCHAR2 := fnd_api.g_miss_char
2917     , p7_a149  VARCHAR2 := fnd_api.g_miss_char
2918     , p7_a150  VARCHAR2 := fnd_api.g_miss_char
2919     , p7_a151  VARCHAR2 := fnd_api.g_miss_char
2920     , p7_a152  VARCHAR2 := fnd_api.g_miss_char
2921     , p7_a153  VARCHAR2 := fnd_api.g_miss_char
2922     , p7_a154  VARCHAR2 := fnd_api.g_miss_char
2923     , p7_a155  VARCHAR2 := fnd_api.g_miss_char
2924     , p7_a156  VARCHAR2 := fnd_api.g_miss_char
2925     , p7_a157  VARCHAR2 := fnd_api.g_miss_char
2926     , p7_a158  VARCHAR2 := fnd_api.g_miss_char
2927     , p7_a159  VARCHAR2 := fnd_api.g_miss_char
2928     , p7_a160  VARCHAR2 := fnd_api.g_miss_char
2929     , p7_a161  VARCHAR2 := fnd_api.g_miss_char
2930     , p7_a162  VARCHAR2 := fnd_api.g_miss_char
2931     , p7_a163  VARCHAR2 := fnd_api.g_miss_char
2932     , p7_a164  VARCHAR2 := fnd_api.g_miss_char
2933     , p7_a165  VARCHAR2 := fnd_api.g_miss_char
2934     , p7_a166  VARCHAR2 := fnd_api.g_miss_char
2935     , p7_a167  VARCHAR2 := fnd_api.g_miss_char
2936     , p7_a168  VARCHAR2 := fnd_api.g_miss_char
2937     , p7_a169  VARCHAR2 := fnd_api.g_miss_char
2938     , p7_a170  VARCHAR2 := fnd_api.g_miss_char
2939     , p7_a171  VARCHAR2 := fnd_api.g_miss_char
2940     , p7_a172  VARCHAR2 := fnd_api.g_miss_char
2941     , p7_a173  VARCHAR2 := fnd_api.g_miss_char
2942     , p7_a174  VARCHAR2 := fnd_api.g_miss_char
2943     , p7_a175  VARCHAR2 := fnd_api.g_miss_char
2944     , p7_a176  VARCHAR2 := fnd_api.g_miss_char
2945     , p7_a177  VARCHAR2 := fnd_api.g_miss_char
2946     , p7_a178  VARCHAR2 := fnd_api.g_miss_char
2947     , p7_a179  VARCHAR2 := fnd_api.g_miss_char
2948     , p7_a180  VARCHAR2 := fnd_api.g_miss_char
2949     , p7_a181  VARCHAR2 := fnd_api.g_miss_char
2950     , p7_a182  VARCHAR2 := fnd_api.g_miss_char
2954     , p7_a186  VARCHAR2 := fnd_api.g_miss_char
2951     , p7_a183  VARCHAR2 := fnd_api.g_miss_char
2952     , p7_a184  VARCHAR2 := fnd_api.g_miss_char
2953     , p7_a185  VARCHAR2 := fnd_api.g_miss_char
2955     , p7_a187  VARCHAR2 := fnd_api.g_miss_char
2956     , p7_a188  VARCHAR2 := fnd_api.g_miss_char
2957     , p7_a189  VARCHAR2 := fnd_api.g_miss_char
2958     , p7_a190  VARCHAR2 := fnd_api.g_miss_char
2959     , p7_a191  VARCHAR2 := fnd_api.g_miss_char
2960     , p7_a192  VARCHAR2 := fnd_api.g_miss_char
2961     , p7_a193  VARCHAR2 := fnd_api.g_miss_char
2962     , p7_a194  VARCHAR2 := fnd_api.g_miss_char
2963     , p7_a195  VARCHAR2 := fnd_api.g_miss_char
2964     , p7_a196  VARCHAR2 := fnd_api.g_miss_char
2965     , p7_a197  VARCHAR2 := fnd_api.g_miss_char
2966     , p7_a198  VARCHAR2 := fnd_api.g_miss_char
2967     , p7_a199  VARCHAR2 := fnd_api.g_miss_char
2968     , p7_a200  VARCHAR2 := fnd_api.g_miss_char
2969     , p7_a201  VARCHAR2 := fnd_api.g_miss_char
2970     , p7_a202  VARCHAR2 := fnd_api.g_miss_char
2971     , p7_a203  VARCHAR2 := fnd_api.g_miss_char
2972     , p7_a204  VARCHAR2 := fnd_api.g_miss_char
2973     , p7_a205  VARCHAR2 := fnd_api.g_miss_char
2974     , p7_a206  VARCHAR2 := fnd_api.g_miss_char
2975     , p7_a207  VARCHAR2 := fnd_api.g_miss_char
2976     , p7_a208  VARCHAR2 := fnd_api.g_miss_char
2977     , p7_a209  VARCHAR2 := fnd_api.g_miss_char
2978     , p7_a210  VARCHAR2 := fnd_api.g_miss_char
2979     , p7_a211  VARCHAR2 := fnd_api.g_miss_char
2980     , p7_a212  VARCHAR2 := fnd_api.g_miss_char
2981     , p7_a213  VARCHAR2 := fnd_api.g_miss_char
2982     , p7_a214  VARCHAR2 := fnd_api.g_miss_char
2983     , p7_a215  VARCHAR2 := fnd_api.g_miss_char
2984     , p7_a216  VARCHAR2 := fnd_api.g_miss_char
2985     , p7_a217  VARCHAR2 := fnd_api.g_miss_char
2986     , p7_a218  VARCHAR2 := fnd_api.g_miss_char
2987     , p7_a219  VARCHAR2 := fnd_api.g_miss_char
2988     , p7_a220  VARCHAR2 := fnd_api.g_miss_char
2989     , p7_a221  VARCHAR2 := fnd_api.g_miss_char
2990     , p7_a222  VARCHAR2 := fnd_api.g_miss_char
2991     , p7_a223  VARCHAR2 := fnd_api.g_miss_char
2992     , p7_a224  VARCHAR2 := fnd_api.g_miss_char
2993     , p7_a225  VARCHAR2 := fnd_api.g_miss_char
2994     , p7_a226  VARCHAR2 := fnd_api.g_miss_char
2995     , p7_a227  VARCHAR2 := fnd_api.g_miss_char
2996     , p7_a228  VARCHAR2 := fnd_api.g_miss_char
2997     , p7_a229  VARCHAR2 := fnd_api.g_miss_char
2998     , p7_a230  VARCHAR2 := fnd_api.g_miss_char
2999     , p7_a231  VARCHAR2 := fnd_api.g_miss_char
3000     , p7_a232  VARCHAR2 := fnd_api.g_miss_char
3001     , p7_a233  VARCHAR2 := fnd_api.g_miss_char
3002     , p7_a234  VARCHAR2 := fnd_api.g_miss_char
3003     , p7_a235  VARCHAR2 := fnd_api.g_miss_char
3004     , p7_a236  VARCHAR2 := fnd_api.g_miss_char
3005     , p7_a237  VARCHAR2 := fnd_api.g_miss_char
3006     , p7_a238  VARCHAR2 := fnd_api.g_miss_char
3007     , p7_a239  VARCHAR2 := fnd_api.g_miss_char
3008     , p7_a240  VARCHAR2 := fnd_api.g_miss_char
3009     , p7_a241  VARCHAR2 := fnd_api.g_miss_char
3010     , p7_a242  VARCHAR2 := fnd_api.g_miss_char
3011     , p7_a243  VARCHAR2 := fnd_api.g_miss_char
3012     , p7_a244  VARCHAR2 := fnd_api.g_miss_char
3013     , p7_a245  VARCHAR2 := fnd_api.g_miss_char
3014     , p7_a246  VARCHAR2 := fnd_api.g_miss_char
3015     , p7_a247  VARCHAR2 := fnd_api.g_miss_char
3016     , p7_a248  VARCHAR2 := fnd_api.g_miss_char
3017     , p7_a249  VARCHAR2 := fnd_api.g_miss_char
3018     , p7_a250  VARCHAR2 := fnd_api.g_miss_char
3019     , p7_a251  VARCHAR2 := fnd_api.g_miss_char
3020     , p7_a252  VARCHAR2 := fnd_api.g_miss_char
3021     , p7_a253  VARCHAR2 := fnd_api.g_miss_char
3022     , p7_a254  VARCHAR2 := fnd_api.g_miss_char
3023     , p7_a255  VARCHAR2 := fnd_api.g_miss_char
3024     , p7_a256  VARCHAR2 := fnd_api.g_miss_char
3025     , p7_a257  VARCHAR2 := fnd_api.g_miss_char
3026     , p7_a258  VARCHAR2 := fnd_api.g_miss_char
3027     , p7_a259  VARCHAR2 := fnd_api.g_miss_char
3028     , p7_a260  VARCHAR2 := fnd_api.g_miss_char
3029     , p7_a261  VARCHAR2 := fnd_api.g_miss_char
3030     , p7_a262  VARCHAR2 := fnd_api.g_miss_char
3031     , p7_a263  VARCHAR2 := fnd_api.g_miss_char
3032     , p7_a264  VARCHAR2 := fnd_api.g_miss_char
3033     , p7_a265  NUMBER := 0-1962.0724
3034     , p7_a266  VARCHAR2 := fnd_api.g_miss_char
3035     , p7_a267  VARCHAR2 := fnd_api.g_miss_char
3036     , p7_a268  VARCHAR2 := fnd_api.g_miss_char
3037     , p7_a269  VARCHAR2 := fnd_api.g_miss_char
3038     , p7_a270  VARCHAR2 := fnd_api.g_miss_char
3039     , p7_a271  VARCHAR2 := fnd_api.g_miss_char
3040     , p7_a272  VARCHAR2 := fnd_api.g_miss_char
3041     , p7_a273  VARCHAR2 := fnd_api.g_miss_char
3042     , p7_a274  VARCHAR2 := fnd_api.g_miss_char
3043     , p7_a275  VARCHAR2 := fnd_api.g_miss_char
3044     , p7_a276  VARCHAR2 := fnd_api.g_miss_char
3045     , p7_a277  VARCHAR2 := fnd_api.g_miss_char
3046     , p7_a278  VARCHAR2 := fnd_api.g_miss_char
3047     , p7_a279  VARCHAR2 := fnd_api.g_miss_char
3048     , p7_a280  VARCHAR2 := fnd_api.g_miss_char
3049     , p7_a281  VARCHAR2 := fnd_api.g_miss_char
3050     , p7_a282  VARCHAR2 := fnd_api.g_miss_char
3051     , p7_a283  VARCHAR2 := fnd_api.g_miss_char
3052     , p7_a284  VARCHAR2 := fnd_api.g_miss_char
3053     , p7_a285  VARCHAR2 := fnd_api.g_miss_char
3054     , p7_a286  VARCHAR2 := fnd_api.g_miss_char
3055     , p7_a287  VARCHAR2 := fnd_api.g_miss_char
3056     , p7_a288  VARCHAR2 := fnd_api.g_miss_char
3057     , p7_a289  VARCHAR2 := fnd_api.g_miss_char
3058     , p7_a290  VARCHAR2 := fnd_api.g_miss_char
3059     , p7_a291  VARCHAR2 := fnd_api.g_miss_char
3060     , p7_a292  VARCHAR2 := fnd_api.g_miss_char
3064 
3061     , p7_a293  VARCHAR2 := fnd_api.g_miss_char
3062     , p7_a294  VARCHAR2 := fnd_api.g_miss_char
3063   )
3065   as
3066     ddp_is_line_rec ams_is_line_pvt.is_line_rec_type;
3067     ddindx binary_integer; indx binary_integer;
3068   begin
3069 
3070     -- copy data to the local IN or IN-OUT args, if any
3071 
3072 
3073 
3074 
3075 
3076 
3077 
3078     ddp_is_line_rec.import_source_line_id := rosetta_g_miss_num_map(p7_a0);
3079     ddp_is_line_rec.object_version_number := rosetta_g_miss_num_map(p7_a1);
3080     ddp_is_line_rec.last_update_date := rosetta_g_miss_date_in_map(p7_a2);
3081     ddp_is_line_rec.last_updated_by := rosetta_g_miss_num_map(p7_a3);
3082     ddp_is_line_rec.creation_date := rosetta_g_miss_date_in_map(p7_a4);
3083     ddp_is_line_rec.created_by := rosetta_g_miss_num_map(p7_a5);
3084     ddp_is_line_rec.last_update_login := rosetta_g_miss_num_map(p7_a6);
3085     ddp_is_line_rec.import_list_header_id := rosetta_g_miss_num_map(p7_a7);
3086     ddp_is_line_rec.import_successful_flag := p7_a8;
3087     ddp_is_line_rec.enabled_flag := p7_a9;
3088     ddp_is_line_rec.import_failure_reason := p7_a10;
3089     ddp_is_line_rec.re_import_last_done_date := rosetta_g_miss_date_in_map(p7_a11);
3090     ddp_is_line_rec.party_id := rosetta_g_miss_num_map(p7_a12);
3091     ddp_is_line_rec.dedupe_key := p7_a13;
3092     ddp_is_line_rec.col1 := p7_a14;
3093     ddp_is_line_rec.col2 := p7_a15;
3094     ddp_is_line_rec.col3 := p7_a16;
3095     ddp_is_line_rec.col4 := p7_a17;
3096     ddp_is_line_rec.col5 := p7_a18;
3097     ddp_is_line_rec.col6 := p7_a19;
3098     ddp_is_line_rec.col7 := p7_a20;
3099     ddp_is_line_rec.col8 := p7_a21;
3100     ddp_is_line_rec.col9 := p7_a22;
3101     ddp_is_line_rec.col10 := p7_a23;
3102     ddp_is_line_rec.col11 := p7_a24;
3103     ddp_is_line_rec.col12 := p7_a25;
3104     ddp_is_line_rec.col13 := p7_a26;
3105     ddp_is_line_rec.col14 := p7_a27;
3106     ddp_is_line_rec.col15 := p7_a28;
3107     ddp_is_line_rec.col16 := p7_a29;
3108     ddp_is_line_rec.col17 := p7_a30;
3109     ddp_is_line_rec.col18 := p7_a31;
3110     ddp_is_line_rec.col19 := p7_a32;
3111     ddp_is_line_rec.col20 := p7_a33;
3112     ddp_is_line_rec.col21 := p7_a34;
3113     ddp_is_line_rec.col22 := p7_a35;
3114     ddp_is_line_rec.col23 := p7_a36;
3115     ddp_is_line_rec.col24 := p7_a37;
3116     ddp_is_line_rec.col25 := p7_a38;
3117     ddp_is_line_rec.col26 := p7_a39;
3118     ddp_is_line_rec.col27 := p7_a40;
3119     ddp_is_line_rec.col28 := p7_a41;
3120     ddp_is_line_rec.col29 := p7_a42;
3121     ddp_is_line_rec.col30 := p7_a43;
3122     ddp_is_line_rec.col31 := p7_a44;
3123     ddp_is_line_rec.col32 := p7_a45;
3124     ddp_is_line_rec.col33 := p7_a46;
3125     ddp_is_line_rec.col34 := p7_a47;
3126     ddp_is_line_rec.col35 := p7_a48;
3127     ddp_is_line_rec.col36 := p7_a49;
3128     ddp_is_line_rec.col37 := p7_a50;
3129     ddp_is_line_rec.col38 := p7_a51;
3130     ddp_is_line_rec.col39 := p7_a52;
3131     ddp_is_line_rec.col40 := p7_a53;
3132     ddp_is_line_rec.col41 := p7_a54;
3133     ddp_is_line_rec.col42 := p7_a55;
3134     ddp_is_line_rec.col43 := p7_a56;
3135     ddp_is_line_rec.col44 := p7_a57;
3136     ddp_is_line_rec.col45 := p7_a58;
3137     ddp_is_line_rec.col46 := p7_a59;
3138     ddp_is_line_rec.col47 := p7_a60;
3139     ddp_is_line_rec.col48 := p7_a61;
3140     ddp_is_line_rec.col49 := p7_a62;
3141     ddp_is_line_rec.col50 := p7_a63;
3142     ddp_is_line_rec.col51 := p7_a64;
3143     ddp_is_line_rec.col52 := p7_a65;
3144     ddp_is_line_rec.col53 := p7_a66;
3145     ddp_is_line_rec.col54 := p7_a67;
3146     ddp_is_line_rec.col55 := p7_a68;
3147     ddp_is_line_rec.col56 := p7_a69;
3148     ddp_is_line_rec.col57 := p7_a70;
3149     ddp_is_line_rec.col58 := p7_a71;
3150     ddp_is_line_rec.col59 := p7_a72;
3151     ddp_is_line_rec.col60 := p7_a73;
3152     ddp_is_line_rec.col61 := p7_a74;
3153     ddp_is_line_rec.col62 := p7_a75;
3154     ddp_is_line_rec.col63 := p7_a76;
3155     ddp_is_line_rec.col64 := p7_a77;
3156     ddp_is_line_rec.col65 := p7_a78;
3157     ddp_is_line_rec.col66 := p7_a79;
3158     ddp_is_line_rec.col67 := p7_a80;
3159     ddp_is_line_rec.col68 := p7_a81;
3160     ddp_is_line_rec.col69 := p7_a82;
3161     ddp_is_line_rec.col70 := p7_a83;
3162     ddp_is_line_rec.col71 := p7_a84;
3163     ddp_is_line_rec.col72 := p7_a85;
3164     ddp_is_line_rec.col73 := p7_a86;
3165     ddp_is_line_rec.col74 := p7_a87;
3166     ddp_is_line_rec.col75 := p7_a88;
3167     ddp_is_line_rec.col76 := p7_a89;
3168     ddp_is_line_rec.col77 := p7_a90;
3169     ddp_is_line_rec.col78 := p7_a91;
3170     ddp_is_line_rec.col79 := p7_a92;
3171     ddp_is_line_rec.col80 := p7_a93;
3172     ddp_is_line_rec.col81 := p7_a94;
3173     ddp_is_line_rec.col82 := p7_a95;
3174     ddp_is_line_rec.col83 := p7_a96;
3175     ddp_is_line_rec.col84 := p7_a97;
3176     ddp_is_line_rec.col85 := p7_a98;
3177     ddp_is_line_rec.col86 := p7_a99;
3178     ddp_is_line_rec.col87 := p7_a100;
3179     ddp_is_line_rec.col88 := p7_a101;
3180     ddp_is_line_rec.col89 := p7_a102;
3181     ddp_is_line_rec.col90 := p7_a103;
3182     ddp_is_line_rec.col91 := p7_a104;
3183     ddp_is_line_rec.col92 := p7_a105;
3184     ddp_is_line_rec.col93 := p7_a106;
3185     ddp_is_line_rec.col94 := p7_a107;
3186     ddp_is_line_rec.col95 := p7_a108;
3187     ddp_is_line_rec.col96 := p7_a109;
3188     ddp_is_line_rec.col97 := p7_a110;
3189     ddp_is_line_rec.col98 := p7_a111;
3190     ddp_is_line_rec.col99 := p7_a112;
3194     ddp_is_line_rec.col103 := p7_a116;
3191     ddp_is_line_rec.col100 := p7_a113;
3192     ddp_is_line_rec.col101 := p7_a114;
3193     ddp_is_line_rec.col102 := p7_a115;
3195     ddp_is_line_rec.col104 := p7_a117;
3196     ddp_is_line_rec.col105 := p7_a118;
3197     ddp_is_line_rec.col106 := p7_a119;
3198     ddp_is_line_rec.col107 := p7_a120;
3199     ddp_is_line_rec.col108 := p7_a121;
3200     ddp_is_line_rec.col109 := p7_a122;
3201     ddp_is_line_rec.col110 := p7_a123;
3202     ddp_is_line_rec.col111 := p7_a124;
3203     ddp_is_line_rec.col112 := p7_a125;
3204     ddp_is_line_rec.col113 := p7_a126;
3205     ddp_is_line_rec.col114 := p7_a127;
3206     ddp_is_line_rec.col115 := p7_a128;
3207     ddp_is_line_rec.col116 := p7_a129;
3208     ddp_is_line_rec.col117 := p7_a130;
3209     ddp_is_line_rec.col118 := p7_a131;
3210     ddp_is_line_rec.col119 := p7_a132;
3211     ddp_is_line_rec.col120 := p7_a133;
3212     ddp_is_line_rec.col121 := p7_a134;
3213     ddp_is_line_rec.col122 := p7_a135;
3214     ddp_is_line_rec.col123 := p7_a136;
3215     ddp_is_line_rec.col124 := p7_a137;
3216     ddp_is_line_rec.col125 := p7_a138;
3217     ddp_is_line_rec.col126 := p7_a139;
3218     ddp_is_line_rec.col127 := p7_a140;
3219     ddp_is_line_rec.col128 := p7_a141;
3220     ddp_is_line_rec.col129 := p7_a142;
3221     ddp_is_line_rec.col130 := p7_a143;
3222     ddp_is_line_rec.col131 := p7_a144;
3223     ddp_is_line_rec.col132 := p7_a145;
3224     ddp_is_line_rec.col133 := p7_a146;
3225     ddp_is_line_rec.col134 := p7_a147;
3226     ddp_is_line_rec.col135 := p7_a148;
3227     ddp_is_line_rec.col136 := p7_a149;
3228     ddp_is_line_rec.col137 := p7_a150;
3229     ddp_is_line_rec.col138 := p7_a151;
3230     ddp_is_line_rec.col139 := p7_a152;
3231     ddp_is_line_rec.col140 := p7_a153;
3232     ddp_is_line_rec.col141 := p7_a154;
3233     ddp_is_line_rec.col142 := p7_a155;
3234     ddp_is_line_rec.col143 := p7_a156;
3235     ddp_is_line_rec.col144 := p7_a157;
3236     ddp_is_line_rec.col145 := p7_a158;
3237     ddp_is_line_rec.col146 := p7_a159;
3238     ddp_is_line_rec.col147 := p7_a160;
3239     ddp_is_line_rec.col148 := p7_a161;
3240     ddp_is_line_rec.col149 := p7_a162;
3241     ddp_is_line_rec.col150 := p7_a163;
3242     ddp_is_line_rec.col151 := p7_a164;
3243     ddp_is_line_rec.col152 := p7_a165;
3244     ddp_is_line_rec.col153 := p7_a166;
3245     ddp_is_line_rec.col154 := p7_a167;
3246     ddp_is_line_rec.col155 := p7_a168;
3247     ddp_is_line_rec.col156 := p7_a169;
3248     ddp_is_line_rec.col157 := p7_a170;
3249     ddp_is_line_rec.col158 := p7_a171;
3250     ddp_is_line_rec.col159 := p7_a172;
3251     ddp_is_line_rec.col160 := p7_a173;
3252     ddp_is_line_rec.col161 := p7_a174;
3253     ddp_is_line_rec.col162 := p7_a175;
3254     ddp_is_line_rec.col163 := p7_a176;
3255     ddp_is_line_rec.col164 := p7_a177;
3256     ddp_is_line_rec.col165 := p7_a178;
3257     ddp_is_line_rec.col166 := p7_a179;
3258     ddp_is_line_rec.col167 := p7_a180;
3259     ddp_is_line_rec.col168 := p7_a181;
3260     ddp_is_line_rec.col169 := p7_a182;
3261     ddp_is_line_rec.col170 := p7_a183;
3262     ddp_is_line_rec.col171 := p7_a184;
3263     ddp_is_line_rec.col172 := p7_a185;
3264     ddp_is_line_rec.col173 := p7_a186;
3265     ddp_is_line_rec.col174 := p7_a187;
3266     ddp_is_line_rec.col175 := p7_a188;
3267     ddp_is_line_rec.col176 := p7_a189;
3268     ddp_is_line_rec.col177 := p7_a190;
3269     ddp_is_line_rec.col178 := p7_a191;
3270     ddp_is_line_rec.col179 := p7_a192;
3271     ddp_is_line_rec.col180 := p7_a193;
3272     ddp_is_line_rec.col181 := p7_a194;
3273     ddp_is_line_rec.col182 := p7_a195;
3274     ddp_is_line_rec.col183 := p7_a196;
3275     ddp_is_line_rec.col184 := p7_a197;
3276     ddp_is_line_rec.col185 := p7_a198;
3277     ddp_is_line_rec.col186 := p7_a199;
3278     ddp_is_line_rec.col187 := p7_a200;
3279     ddp_is_line_rec.col188 := p7_a201;
3280     ddp_is_line_rec.col189 := p7_a202;
3281     ddp_is_line_rec.col190 := p7_a203;
3282     ddp_is_line_rec.col191 := p7_a204;
3283     ddp_is_line_rec.col192 := p7_a205;
3284     ddp_is_line_rec.col193 := p7_a206;
3285     ddp_is_line_rec.col194 := p7_a207;
3286     ddp_is_line_rec.col195 := p7_a208;
3287     ddp_is_line_rec.col196 := p7_a209;
3288     ddp_is_line_rec.col197 := p7_a210;
3289     ddp_is_line_rec.col198 := p7_a211;
3290     ddp_is_line_rec.col199 := p7_a212;
3291     ddp_is_line_rec.col200 := p7_a213;
3292     ddp_is_line_rec.col201 := p7_a214;
3293     ddp_is_line_rec.col202 := p7_a215;
3294     ddp_is_line_rec.col203 := p7_a216;
3295     ddp_is_line_rec.col204 := p7_a217;
3296     ddp_is_line_rec.col205 := p7_a218;
3297     ddp_is_line_rec.col206 := p7_a219;
3298     ddp_is_line_rec.col207 := p7_a220;
3299     ddp_is_line_rec.col208 := p7_a221;
3300     ddp_is_line_rec.col209 := p7_a222;
3301     ddp_is_line_rec.col210 := p7_a223;
3302     ddp_is_line_rec.col211 := p7_a224;
3303     ddp_is_line_rec.col212 := p7_a225;
3304     ddp_is_line_rec.col213 := p7_a226;
3305     ddp_is_line_rec.col214 := p7_a227;
3306     ddp_is_line_rec.col215 := p7_a228;
3307     ddp_is_line_rec.col216 := p7_a229;
3308     ddp_is_line_rec.col217 := p7_a230;
3309     ddp_is_line_rec.col218 := p7_a231;
3310     ddp_is_line_rec.col219 := p7_a232;
3311     ddp_is_line_rec.col220 := p7_a233;
3312     ddp_is_line_rec.col221 := p7_a234;
3313     ddp_is_line_rec.col222 := p7_a235;
3314     ddp_is_line_rec.col223 := p7_a236;
3315     ddp_is_line_rec.col224 := p7_a237;
3316     ddp_is_line_rec.col225 := p7_a238;
3320     ddp_is_line_rec.col229 := p7_a242;
3317     ddp_is_line_rec.col226 := p7_a239;
3318     ddp_is_line_rec.col227 := p7_a240;
3319     ddp_is_line_rec.col228 := p7_a241;
3321     ddp_is_line_rec.col230 := p7_a243;
3322     ddp_is_line_rec.col231 := p7_a244;
3323     ddp_is_line_rec.col232 := p7_a245;
3324     ddp_is_line_rec.col233 := p7_a246;
3325     ddp_is_line_rec.col234 := p7_a247;
3326     ddp_is_line_rec.col235 := p7_a248;
3327     ddp_is_line_rec.col236 := p7_a249;
3328     ddp_is_line_rec.col237 := p7_a250;
3329     ddp_is_line_rec.col238 := p7_a251;
3330     ddp_is_line_rec.col239 := p7_a252;
3331     ddp_is_line_rec.col240 := p7_a253;
3332     ddp_is_line_rec.col241 := p7_a254;
3333     ddp_is_line_rec.col242 := p7_a255;
3334     ddp_is_line_rec.col243 := p7_a256;
3335     ddp_is_line_rec.col244 := p7_a257;
3336     ddp_is_line_rec.col245 := p7_a258;
3337     ddp_is_line_rec.col246 := p7_a259;
3338     ddp_is_line_rec.col247 := p7_a260;
3339     ddp_is_line_rec.col248 := p7_a261;
3340     ddp_is_line_rec.col249 := p7_a262;
3341     ddp_is_line_rec.col250 := p7_a263;
3342     ddp_is_line_rec.duplicate_flag := p7_a264;
3343     ddp_is_line_rec.current_usage := rosetta_g_miss_num_map(p7_a265);
3344     ddp_is_line_rec.load_status := p7_a266;
3345     ddp_is_line_rec.notes := p7_a267;
3346     ddp_is_line_rec.sales_agent_email_address := p7_a268;
3347     ddp_is_line_rec.vehicle_response_code := p7_a269;
3348     ddp_is_line_rec.custom_column1 := p7_a270;
3349     ddp_is_line_rec.custom_column2 := p7_a271;
3350     ddp_is_line_rec.custom_column3 := p7_a272;
3351     ddp_is_line_rec.custom_column4 := p7_a273;
3352     ddp_is_line_rec.custom_column5 := p7_a274;
3353     ddp_is_line_rec.custom_column6 := p7_a275;
3354     ddp_is_line_rec.custom_column7 := p7_a276;
3355     ddp_is_line_rec.custom_column8 := p7_a277;
3356     ddp_is_line_rec.custom_column9 := p7_a278;
3357     ddp_is_line_rec.custom_column10 := p7_a279;
3358     ddp_is_line_rec.custom_column11 := p7_a280;
3359     ddp_is_line_rec.custom_column12 := p7_a281;
3360     ddp_is_line_rec.custom_column13 := p7_a282;
3361     ddp_is_line_rec.custom_column14 := p7_a283;
3362     ddp_is_line_rec.custom_column15 := p7_a284;
3363     ddp_is_line_rec.custom_column16 := p7_a285;
3364     ddp_is_line_rec.custom_column17 := p7_a286;
3365     ddp_is_line_rec.custom_column18 := p7_a287;
3366     ddp_is_line_rec.custom_column19 := p7_a288;
3367     ddp_is_line_rec.custom_column20 := p7_a289;
3368     ddp_is_line_rec.custom_column21 := p7_a290;
3369     ddp_is_line_rec.custom_column22 := p7_a291;
3370     ddp_is_line_rec.custom_column23 := p7_a292;
3371     ddp_is_line_rec.custom_column24 := p7_a293;
3372     ddp_is_line_rec.custom_column25 := p7_a294;
3373 
3374 
3375     -- here's the delegated call to the old PL/SQL routine
3376     ams_is_line_pvt.update_is_line(p_api_version_number,
3377       p_init_msg_list,
3378       p_commit,
3379       p_validation_level,
3380       x_return_status,
3381       x_msg_count,
3382       x_msg_data,
3383       ddp_is_line_rec,
3384       x_object_version_number);
3385 
3386     -- copy data back from the local variables to OUT or IN-OUT args, if any
3387 
3388 
3389 
3390 
3391 
3392 
3393 
3394 
3395   end;
3396 
3397   procedure validate_is_line(p_api_version_number  NUMBER
3398     , p_init_msg_list  VARCHAR2
3399     , p_validation_level  NUMBER
3400     , x_return_status out nocopy  VARCHAR2
3401     , x_msg_count out nocopy  NUMBER
3402     , x_msg_data out nocopy  VARCHAR2
3403     , p3_a0  NUMBER := 0-1962.0724
3404     , p3_a1  NUMBER := 0-1962.0724
3405     , p3_a2  DATE := fnd_api.g_miss_date
3406     , p3_a3  NUMBER := 0-1962.0724
3407     , p3_a4  DATE := fnd_api.g_miss_date
3408     , p3_a5  NUMBER := 0-1962.0724
3409     , p3_a6  NUMBER := 0-1962.0724
3410     , p3_a7  NUMBER := 0-1962.0724
3411     , p3_a8  VARCHAR2 := fnd_api.g_miss_char
3412     , p3_a9  VARCHAR2 := fnd_api.g_miss_char
3413     , p3_a10  VARCHAR2 := fnd_api.g_miss_char
3414     , p3_a11  DATE := fnd_api.g_miss_date
3415     , p3_a12  NUMBER := 0-1962.0724
3416     , p3_a13  VARCHAR2 := fnd_api.g_miss_char
3417     , p3_a14  VARCHAR2 := fnd_api.g_miss_char
3418     , p3_a15  VARCHAR2 := fnd_api.g_miss_char
3419     , p3_a16  VARCHAR2 := fnd_api.g_miss_char
3420     , p3_a17  VARCHAR2 := fnd_api.g_miss_char
3421     , p3_a18  VARCHAR2 := fnd_api.g_miss_char
3422     , p3_a19  VARCHAR2 := fnd_api.g_miss_char
3423     , p3_a20  VARCHAR2 := fnd_api.g_miss_char
3424     , p3_a21  VARCHAR2 := fnd_api.g_miss_char
3425     , p3_a22  VARCHAR2 := fnd_api.g_miss_char
3426     , p3_a23  VARCHAR2 := fnd_api.g_miss_char
3427     , p3_a24  VARCHAR2 := fnd_api.g_miss_char
3428     , p3_a25  VARCHAR2 := fnd_api.g_miss_char
3429     , p3_a26  VARCHAR2 := fnd_api.g_miss_char
3430     , p3_a27  VARCHAR2 := fnd_api.g_miss_char
3431     , p3_a28  VARCHAR2 := fnd_api.g_miss_char
3432     , p3_a29  VARCHAR2 := fnd_api.g_miss_char
3433     , p3_a30  VARCHAR2 := fnd_api.g_miss_char
3434     , p3_a31  VARCHAR2 := fnd_api.g_miss_char
3435     , p3_a32  VARCHAR2 := fnd_api.g_miss_char
3436     , p3_a33  VARCHAR2 := fnd_api.g_miss_char
3437     , p3_a34  VARCHAR2 := fnd_api.g_miss_char
3438     , p3_a35  VARCHAR2 := fnd_api.g_miss_char
3439     , p3_a36  VARCHAR2 := fnd_api.g_miss_char
3440     , p3_a37  VARCHAR2 := fnd_api.g_miss_char
3441     , p3_a38  VARCHAR2 := fnd_api.g_miss_char
3442     , p3_a39  VARCHAR2 := fnd_api.g_miss_char
3443     , p3_a40  VARCHAR2 := fnd_api.g_miss_char
3447     , p3_a44  VARCHAR2 := fnd_api.g_miss_char
3444     , p3_a41  VARCHAR2 := fnd_api.g_miss_char
3445     , p3_a42  VARCHAR2 := fnd_api.g_miss_char
3446     , p3_a43  VARCHAR2 := fnd_api.g_miss_char
3448     , p3_a45  VARCHAR2 := fnd_api.g_miss_char
3449     , p3_a46  VARCHAR2 := fnd_api.g_miss_char
3450     , p3_a47  VARCHAR2 := fnd_api.g_miss_char
3451     , p3_a48  VARCHAR2 := fnd_api.g_miss_char
3452     , p3_a49  VARCHAR2 := fnd_api.g_miss_char
3453     , p3_a50  VARCHAR2 := fnd_api.g_miss_char
3454     , p3_a51  VARCHAR2 := fnd_api.g_miss_char
3455     , p3_a52  VARCHAR2 := fnd_api.g_miss_char
3456     , p3_a53  VARCHAR2 := fnd_api.g_miss_char
3457     , p3_a54  VARCHAR2 := fnd_api.g_miss_char
3458     , p3_a55  VARCHAR2 := fnd_api.g_miss_char
3459     , p3_a56  VARCHAR2 := fnd_api.g_miss_char
3460     , p3_a57  VARCHAR2 := fnd_api.g_miss_char
3461     , p3_a58  VARCHAR2 := fnd_api.g_miss_char
3462     , p3_a59  VARCHAR2 := fnd_api.g_miss_char
3463     , p3_a60  VARCHAR2 := fnd_api.g_miss_char
3464     , p3_a61  VARCHAR2 := fnd_api.g_miss_char
3465     , p3_a62  VARCHAR2 := fnd_api.g_miss_char
3466     , p3_a63  VARCHAR2 := fnd_api.g_miss_char
3467     , p3_a64  VARCHAR2 := fnd_api.g_miss_char
3468     , p3_a65  VARCHAR2 := fnd_api.g_miss_char
3469     , p3_a66  VARCHAR2 := fnd_api.g_miss_char
3470     , p3_a67  VARCHAR2 := fnd_api.g_miss_char
3471     , p3_a68  VARCHAR2 := fnd_api.g_miss_char
3472     , p3_a69  VARCHAR2 := fnd_api.g_miss_char
3473     , p3_a70  VARCHAR2 := fnd_api.g_miss_char
3474     , p3_a71  VARCHAR2 := fnd_api.g_miss_char
3475     , p3_a72  VARCHAR2 := fnd_api.g_miss_char
3476     , p3_a73  VARCHAR2 := fnd_api.g_miss_char
3477     , p3_a74  VARCHAR2 := fnd_api.g_miss_char
3478     , p3_a75  VARCHAR2 := fnd_api.g_miss_char
3479     , p3_a76  VARCHAR2 := fnd_api.g_miss_char
3480     , p3_a77  VARCHAR2 := fnd_api.g_miss_char
3481     , p3_a78  VARCHAR2 := fnd_api.g_miss_char
3482     , p3_a79  VARCHAR2 := fnd_api.g_miss_char
3483     , p3_a80  VARCHAR2 := fnd_api.g_miss_char
3484     , p3_a81  VARCHAR2 := fnd_api.g_miss_char
3485     , p3_a82  VARCHAR2 := fnd_api.g_miss_char
3486     , p3_a83  VARCHAR2 := fnd_api.g_miss_char
3487     , p3_a84  VARCHAR2 := fnd_api.g_miss_char
3488     , p3_a85  VARCHAR2 := fnd_api.g_miss_char
3489     , p3_a86  VARCHAR2 := fnd_api.g_miss_char
3490     , p3_a87  VARCHAR2 := fnd_api.g_miss_char
3491     , p3_a88  VARCHAR2 := fnd_api.g_miss_char
3492     , p3_a89  VARCHAR2 := fnd_api.g_miss_char
3493     , p3_a90  VARCHAR2 := fnd_api.g_miss_char
3494     , p3_a91  VARCHAR2 := fnd_api.g_miss_char
3495     , p3_a92  VARCHAR2 := fnd_api.g_miss_char
3496     , p3_a93  VARCHAR2 := fnd_api.g_miss_char
3497     , p3_a94  VARCHAR2 := fnd_api.g_miss_char
3498     , p3_a95  VARCHAR2 := fnd_api.g_miss_char
3499     , p3_a96  VARCHAR2 := fnd_api.g_miss_char
3500     , p3_a97  VARCHAR2 := fnd_api.g_miss_char
3501     , p3_a98  VARCHAR2 := fnd_api.g_miss_char
3502     , p3_a99  VARCHAR2 := fnd_api.g_miss_char
3503     , p3_a100  VARCHAR2 := fnd_api.g_miss_char
3504     , p3_a101  VARCHAR2 := fnd_api.g_miss_char
3505     , p3_a102  VARCHAR2 := fnd_api.g_miss_char
3506     , p3_a103  VARCHAR2 := fnd_api.g_miss_char
3507     , p3_a104  VARCHAR2 := fnd_api.g_miss_char
3508     , p3_a105  VARCHAR2 := fnd_api.g_miss_char
3509     , p3_a106  VARCHAR2 := fnd_api.g_miss_char
3510     , p3_a107  VARCHAR2 := fnd_api.g_miss_char
3511     , p3_a108  VARCHAR2 := fnd_api.g_miss_char
3512     , p3_a109  VARCHAR2 := fnd_api.g_miss_char
3513     , p3_a110  VARCHAR2 := fnd_api.g_miss_char
3514     , p3_a111  VARCHAR2 := fnd_api.g_miss_char
3515     , p3_a112  VARCHAR2 := fnd_api.g_miss_char
3516     , p3_a113  VARCHAR2 := fnd_api.g_miss_char
3517     , p3_a114  VARCHAR2 := fnd_api.g_miss_char
3518     , p3_a115  VARCHAR2 := fnd_api.g_miss_char
3519     , p3_a116  VARCHAR2 := fnd_api.g_miss_char
3520     , p3_a117  VARCHAR2 := fnd_api.g_miss_char
3521     , p3_a118  VARCHAR2 := fnd_api.g_miss_char
3522     , p3_a119  VARCHAR2 := fnd_api.g_miss_char
3523     , p3_a120  VARCHAR2 := fnd_api.g_miss_char
3524     , p3_a121  VARCHAR2 := fnd_api.g_miss_char
3525     , p3_a122  VARCHAR2 := fnd_api.g_miss_char
3526     , p3_a123  VARCHAR2 := fnd_api.g_miss_char
3527     , p3_a124  VARCHAR2 := fnd_api.g_miss_char
3528     , p3_a125  VARCHAR2 := fnd_api.g_miss_char
3529     , p3_a126  VARCHAR2 := fnd_api.g_miss_char
3530     , p3_a127  VARCHAR2 := fnd_api.g_miss_char
3531     , p3_a128  VARCHAR2 := fnd_api.g_miss_char
3532     , p3_a129  VARCHAR2 := fnd_api.g_miss_char
3533     , p3_a130  VARCHAR2 := fnd_api.g_miss_char
3534     , p3_a131  VARCHAR2 := fnd_api.g_miss_char
3535     , p3_a132  VARCHAR2 := fnd_api.g_miss_char
3536     , p3_a133  VARCHAR2 := fnd_api.g_miss_char
3537     , p3_a134  VARCHAR2 := fnd_api.g_miss_char
3538     , p3_a135  VARCHAR2 := fnd_api.g_miss_char
3539     , p3_a136  VARCHAR2 := fnd_api.g_miss_char
3540     , p3_a137  VARCHAR2 := fnd_api.g_miss_char
3541     , p3_a138  VARCHAR2 := fnd_api.g_miss_char
3542     , p3_a139  VARCHAR2 := fnd_api.g_miss_char
3543     , p3_a140  VARCHAR2 := fnd_api.g_miss_char
3544     , p3_a141  VARCHAR2 := fnd_api.g_miss_char
3545     , p3_a142  VARCHAR2 := fnd_api.g_miss_char
3546     , p3_a143  VARCHAR2 := fnd_api.g_miss_char
3547     , p3_a144  VARCHAR2 := fnd_api.g_miss_char
3548     , p3_a145  VARCHAR2 := fnd_api.g_miss_char
3549     , p3_a146  VARCHAR2 := fnd_api.g_miss_char
3550     , p3_a147  VARCHAR2 := fnd_api.g_miss_char
3551     , p3_a148  VARCHAR2 := fnd_api.g_miss_char
3552     , p3_a149  VARCHAR2 := fnd_api.g_miss_char
3553     , p3_a150  VARCHAR2 := fnd_api.g_miss_char
3554     , p3_a151  VARCHAR2 := fnd_api.g_miss_char
3558     , p3_a155  VARCHAR2 := fnd_api.g_miss_char
3555     , p3_a152  VARCHAR2 := fnd_api.g_miss_char
3556     , p3_a153  VARCHAR2 := fnd_api.g_miss_char
3557     , p3_a154  VARCHAR2 := fnd_api.g_miss_char
3559     , p3_a156  VARCHAR2 := fnd_api.g_miss_char
3560     , p3_a157  VARCHAR2 := fnd_api.g_miss_char
3561     , p3_a158  VARCHAR2 := fnd_api.g_miss_char
3562     , p3_a159  VARCHAR2 := fnd_api.g_miss_char
3563     , p3_a160  VARCHAR2 := fnd_api.g_miss_char
3564     , p3_a161  VARCHAR2 := fnd_api.g_miss_char
3565     , p3_a162  VARCHAR2 := fnd_api.g_miss_char
3566     , p3_a163  VARCHAR2 := fnd_api.g_miss_char
3567     , p3_a164  VARCHAR2 := fnd_api.g_miss_char
3568     , p3_a165  VARCHAR2 := fnd_api.g_miss_char
3569     , p3_a166  VARCHAR2 := fnd_api.g_miss_char
3570     , p3_a167  VARCHAR2 := fnd_api.g_miss_char
3571     , p3_a168  VARCHAR2 := fnd_api.g_miss_char
3572     , p3_a169  VARCHAR2 := fnd_api.g_miss_char
3573     , p3_a170  VARCHAR2 := fnd_api.g_miss_char
3574     , p3_a171  VARCHAR2 := fnd_api.g_miss_char
3575     , p3_a172  VARCHAR2 := fnd_api.g_miss_char
3576     , p3_a173  VARCHAR2 := fnd_api.g_miss_char
3577     , p3_a174  VARCHAR2 := fnd_api.g_miss_char
3578     , p3_a175  VARCHAR2 := fnd_api.g_miss_char
3579     , p3_a176  VARCHAR2 := fnd_api.g_miss_char
3580     , p3_a177  VARCHAR2 := fnd_api.g_miss_char
3581     , p3_a178  VARCHAR2 := fnd_api.g_miss_char
3582     , p3_a179  VARCHAR2 := fnd_api.g_miss_char
3583     , p3_a180  VARCHAR2 := fnd_api.g_miss_char
3584     , p3_a181  VARCHAR2 := fnd_api.g_miss_char
3585     , p3_a182  VARCHAR2 := fnd_api.g_miss_char
3586     , p3_a183  VARCHAR2 := fnd_api.g_miss_char
3587     , p3_a184  VARCHAR2 := fnd_api.g_miss_char
3588     , p3_a185  VARCHAR2 := fnd_api.g_miss_char
3589     , p3_a186  VARCHAR2 := fnd_api.g_miss_char
3590     , p3_a187  VARCHAR2 := fnd_api.g_miss_char
3591     , p3_a188  VARCHAR2 := fnd_api.g_miss_char
3592     , p3_a189  VARCHAR2 := fnd_api.g_miss_char
3593     , p3_a190  VARCHAR2 := fnd_api.g_miss_char
3594     , p3_a191  VARCHAR2 := fnd_api.g_miss_char
3595     , p3_a192  VARCHAR2 := fnd_api.g_miss_char
3596     , p3_a193  VARCHAR2 := fnd_api.g_miss_char
3597     , p3_a194  VARCHAR2 := fnd_api.g_miss_char
3598     , p3_a195  VARCHAR2 := fnd_api.g_miss_char
3599     , p3_a196  VARCHAR2 := fnd_api.g_miss_char
3600     , p3_a197  VARCHAR2 := fnd_api.g_miss_char
3601     , p3_a198  VARCHAR2 := fnd_api.g_miss_char
3602     , p3_a199  VARCHAR2 := fnd_api.g_miss_char
3603     , p3_a200  VARCHAR2 := fnd_api.g_miss_char
3604     , p3_a201  VARCHAR2 := fnd_api.g_miss_char
3605     , p3_a202  VARCHAR2 := fnd_api.g_miss_char
3606     , p3_a203  VARCHAR2 := fnd_api.g_miss_char
3607     , p3_a204  VARCHAR2 := fnd_api.g_miss_char
3608     , p3_a205  VARCHAR2 := fnd_api.g_miss_char
3609     , p3_a206  VARCHAR2 := fnd_api.g_miss_char
3610     , p3_a207  VARCHAR2 := fnd_api.g_miss_char
3611     , p3_a208  VARCHAR2 := fnd_api.g_miss_char
3612     , p3_a209  VARCHAR2 := fnd_api.g_miss_char
3613     , p3_a210  VARCHAR2 := fnd_api.g_miss_char
3614     , p3_a211  VARCHAR2 := fnd_api.g_miss_char
3615     , p3_a212  VARCHAR2 := fnd_api.g_miss_char
3616     , p3_a213  VARCHAR2 := fnd_api.g_miss_char
3617     , p3_a214  VARCHAR2 := fnd_api.g_miss_char
3618     , p3_a215  VARCHAR2 := fnd_api.g_miss_char
3619     , p3_a216  VARCHAR2 := fnd_api.g_miss_char
3620     , p3_a217  VARCHAR2 := fnd_api.g_miss_char
3621     , p3_a218  VARCHAR2 := fnd_api.g_miss_char
3622     , p3_a219  VARCHAR2 := fnd_api.g_miss_char
3623     , p3_a220  VARCHAR2 := fnd_api.g_miss_char
3624     , p3_a221  VARCHAR2 := fnd_api.g_miss_char
3625     , p3_a222  VARCHAR2 := fnd_api.g_miss_char
3626     , p3_a223  VARCHAR2 := fnd_api.g_miss_char
3627     , p3_a224  VARCHAR2 := fnd_api.g_miss_char
3628     , p3_a225  VARCHAR2 := fnd_api.g_miss_char
3629     , p3_a226  VARCHAR2 := fnd_api.g_miss_char
3630     , p3_a227  VARCHAR2 := fnd_api.g_miss_char
3631     , p3_a228  VARCHAR2 := fnd_api.g_miss_char
3632     , p3_a229  VARCHAR2 := fnd_api.g_miss_char
3633     , p3_a230  VARCHAR2 := fnd_api.g_miss_char
3634     , p3_a231  VARCHAR2 := fnd_api.g_miss_char
3635     , p3_a232  VARCHAR2 := fnd_api.g_miss_char
3636     , p3_a233  VARCHAR2 := fnd_api.g_miss_char
3637     , p3_a234  VARCHAR2 := fnd_api.g_miss_char
3638     , p3_a235  VARCHAR2 := fnd_api.g_miss_char
3639     , p3_a236  VARCHAR2 := fnd_api.g_miss_char
3640     , p3_a237  VARCHAR2 := fnd_api.g_miss_char
3641     , p3_a238  VARCHAR2 := fnd_api.g_miss_char
3642     , p3_a239  VARCHAR2 := fnd_api.g_miss_char
3643     , p3_a240  VARCHAR2 := fnd_api.g_miss_char
3644     , p3_a241  VARCHAR2 := fnd_api.g_miss_char
3645     , p3_a242  VARCHAR2 := fnd_api.g_miss_char
3646     , p3_a243  VARCHAR2 := fnd_api.g_miss_char
3647     , p3_a244  VARCHAR2 := fnd_api.g_miss_char
3648     , p3_a245  VARCHAR2 := fnd_api.g_miss_char
3649     , p3_a246  VARCHAR2 := fnd_api.g_miss_char
3650     , p3_a247  VARCHAR2 := fnd_api.g_miss_char
3651     , p3_a248  VARCHAR2 := fnd_api.g_miss_char
3652     , p3_a249  VARCHAR2 := fnd_api.g_miss_char
3653     , p3_a250  VARCHAR2 := fnd_api.g_miss_char
3654     , p3_a251  VARCHAR2 := fnd_api.g_miss_char
3655     , p3_a252  VARCHAR2 := fnd_api.g_miss_char
3656     , p3_a253  VARCHAR2 := fnd_api.g_miss_char
3657     , p3_a254  VARCHAR2 := fnd_api.g_miss_char
3658     , p3_a255  VARCHAR2 := fnd_api.g_miss_char
3659     , p3_a256  VARCHAR2 := fnd_api.g_miss_char
3660     , p3_a257  VARCHAR2 := fnd_api.g_miss_char
3661     , p3_a258  VARCHAR2 := fnd_api.g_miss_char
3665     , p3_a262  VARCHAR2 := fnd_api.g_miss_char
3662     , p3_a259  VARCHAR2 := fnd_api.g_miss_char
3663     , p3_a260  VARCHAR2 := fnd_api.g_miss_char
3664     , p3_a261  VARCHAR2 := fnd_api.g_miss_char
3666     , p3_a263  VARCHAR2 := fnd_api.g_miss_char
3667     , p3_a264  VARCHAR2 := fnd_api.g_miss_char
3668     , p3_a265  NUMBER := 0-1962.0724
3669     , p3_a266  VARCHAR2 := fnd_api.g_miss_char
3670     , p3_a267  VARCHAR2 := fnd_api.g_miss_char
3671     , p3_a268  VARCHAR2 := fnd_api.g_miss_char
3672     , p3_a269  VARCHAR2 := fnd_api.g_miss_char
3673     , p3_a270  VARCHAR2 := fnd_api.g_miss_char
3674     , p3_a271  VARCHAR2 := fnd_api.g_miss_char
3675     , p3_a272  VARCHAR2 := fnd_api.g_miss_char
3676     , p3_a273  VARCHAR2 := fnd_api.g_miss_char
3677     , p3_a274  VARCHAR2 := fnd_api.g_miss_char
3678     , p3_a275  VARCHAR2 := fnd_api.g_miss_char
3679     , p3_a276  VARCHAR2 := fnd_api.g_miss_char
3680     , p3_a277  VARCHAR2 := fnd_api.g_miss_char
3681     , p3_a278  VARCHAR2 := fnd_api.g_miss_char
3682     , p3_a279  VARCHAR2 := fnd_api.g_miss_char
3683     , p3_a280  VARCHAR2 := fnd_api.g_miss_char
3684     , p3_a281  VARCHAR2 := fnd_api.g_miss_char
3685     , p3_a282  VARCHAR2 := fnd_api.g_miss_char
3686     , p3_a283  VARCHAR2 := fnd_api.g_miss_char
3687     , p3_a284  VARCHAR2 := fnd_api.g_miss_char
3688     , p3_a285  VARCHAR2 := fnd_api.g_miss_char
3689     , p3_a286  VARCHAR2 := fnd_api.g_miss_char
3690     , p3_a287  VARCHAR2 := fnd_api.g_miss_char
3691     , p3_a288  VARCHAR2 := fnd_api.g_miss_char
3692     , p3_a289  VARCHAR2 := fnd_api.g_miss_char
3693     , p3_a290  VARCHAR2 := fnd_api.g_miss_char
3694     , p3_a291  VARCHAR2 := fnd_api.g_miss_char
3695     , p3_a292  VARCHAR2 := fnd_api.g_miss_char
3696     , p3_a293  VARCHAR2 := fnd_api.g_miss_char
3697     , p3_a294  VARCHAR2 := fnd_api.g_miss_char
3698   )
3699 
3700   as
3701     ddp_is_line_rec ams_is_line_pvt.is_line_rec_type;
3702     ddindx binary_integer; indx binary_integer;
3703   begin
3704 
3705     -- copy data to the local IN or IN-OUT args, if any
3706 
3707 
3708 
3709     ddp_is_line_rec.import_source_line_id := rosetta_g_miss_num_map(p3_a0);
3710     ddp_is_line_rec.object_version_number := rosetta_g_miss_num_map(p3_a1);
3711     ddp_is_line_rec.last_update_date := rosetta_g_miss_date_in_map(p3_a2);
3712     ddp_is_line_rec.last_updated_by := rosetta_g_miss_num_map(p3_a3);
3713     ddp_is_line_rec.creation_date := rosetta_g_miss_date_in_map(p3_a4);
3714     ddp_is_line_rec.created_by := rosetta_g_miss_num_map(p3_a5);
3715     ddp_is_line_rec.last_update_login := rosetta_g_miss_num_map(p3_a6);
3716     ddp_is_line_rec.import_list_header_id := rosetta_g_miss_num_map(p3_a7);
3717     ddp_is_line_rec.import_successful_flag := p3_a8;
3718     ddp_is_line_rec.enabled_flag := p3_a9;
3719     ddp_is_line_rec.import_failure_reason := p3_a10;
3720     ddp_is_line_rec.re_import_last_done_date := rosetta_g_miss_date_in_map(p3_a11);
3721     ddp_is_line_rec.party_id := rosetta_g_miss_num_map(p3_a12);
3722     ddp_is_line_rec.dedupe_key := p3_a13;
3723     ddp_is_line_rec.col1 := p3_a14;
3724     ddp_is_line_rec.col2 := p3_a15;
3725     ddp_is_line_rec.col3 := p3_a16;
3726     ddp_is_line_rec.col4 := p3_a17;
3727     ddp_is_line_rec.col5 := p3_a18;
3728     ddp_is_line_rec.col6 := p3_a19;
3729     ddp_is_line_rec.col7 := p3_a20;
3730     ddp_is_line_rec.col8 := p3_a21;
3731     ddp_is_line_rec.col9 := p3_a22;
3732     ddp_is_line_rec.col10 := p3_a23;
3733     ddp_is_line_rec.col11 := p3_a24;
3734     ddp_is_line_rec.col12 := p3_a25;
3735     ddp_is_line_rec.col13 := p3_a26;
3736     ddp_is_line_rec.col14 := p3_a27;
3737     ddp_is_line_rec.col15 := p3_a28;
3738     ddp_is_line_rec.col16 := p3_a29;
3739     ddp_is_line_rec.col17 := p3_a30;
3740     ddp_is_line_rec.col18 := p3_a31;
3741     ddp_is_line_rec.col19 := p3_a32;
3742     ddp_is_line_rec.col20 := p3_a33;
3743     ddp_is_line_rec.col21 := p3_a34;
3744     ddp_is_line_rec.col22 := p3_a35;
3745     ddp_is_line_rec.col23 := p3_a36;
3746     ddp_is_line_rec.col24 := p3_a37;
3747     ddp_is_line_rec.col25 := p3_a38;
3748     ddp_is_line_rec.col26 := p3_a39;
3749     ddp_is_line_rec.col27 := p3_a40;
3750     ddp_is_line_rec.col28 := p3_a41;
3751     ddp_is_line_rec.col29 := p3_a42;
3752     ddp_is_line_rec.col30 := p3_a43;
3753     ddp_is_line_rec.col31 := p3_a44;
3754     ddp_is_line_rec.col32 := p3_a45;
3755     ddp_is_line_rec.col33 := p3_a46;
3756     ddp_is_line_rec.col34 := p3_a47;
3757     ddp_is_line_rec.col35 := p3_a48;
3758     ddp_is_line_rec.col36 := p3_a49;
3759     ddp_is_line_rec.col37 := p3_a50;
3760     ddp_is_line_rec.col38 := p3_a51;
3761     ddp_is_line_rec.col39 := p3_a52;
3762     ddp_is_line_rec.col40 := p3_a53;
3763     ddp_is_line_rec.col41 := p3_a54;
3764     ddp_is_line_rec.col42 := p3_a55;
3765     ddp_is_line_rec.col43 := p3_a56;
3766     ddp_is_line_rec.col44 := p3_a57;
3767     ddp_is_line_rec.col45 := p3_a58;
3768     ddp_is_line_rec.col46 := p3_a59;
3769     ddp_is_line_rec.col47 := p3_a60;
3770     ddp_is_line_rec.col48 := p3_a61;
3771     ddp_is_line_rec.col49 := p3_a62;
3772     ddp_is_line_rec.col50 := p3_a63;
3773     ddp_is_line_rec.col51 := p3_a64;
3774     ddp_is_line_rec.col52 := p3_a65;
3775     ddp_is_line_rec.col53 := p3_a66;
3776     ddp_is_line_rec.col54 := p3_a67;
3777     ddp_is_line_rec.col55 := p3_a68;
3778     ddp_is_line_rec.col56 := p3_a69;
3779     ddp_is_line_rec.col57 := p3_a70;
3783     ddp_is_line_rec.col61 := p3_a74;
3780     ddp_is_line_rec.col58 := p3_a71;
3781     ddp_is_line_rec.col59 := p3_a72;
3782     ddp_is_line_rec.col60 := p3_a73;
3784     ddp_is_line_rec.col62 := p3_a75;
3785     ddp_is_line_rec.col63 := p3_a76;
3786     ddp_is_line_rec.col64 := p3_a77;
3787     ddp_is_line_rec.col65 := p3_a78;
3788     ddp_is_line_rec.col66 := p3_a79;
3789     ddp_is_line_rec.col67 := p3_a80;
3790     ddp_is_line_rec.col68 := p3_a81;
3791     ddp_is_line_rec.col69 := p3_a82;
3792     ddp_is_line_rec.col70 := p3_a83;
3793     ddp_is_line_rec.col71 := p3_a84;
3794     ddp_is_line_rec.col72 := p3_a85;
3795     ddp_is_line_rec.col73 := p3_a86;
3796     ddp_is_line_rec.col74 := p3_a87;
3797     ddp_is_line_rec.col75 := p3_a88;
3798     ddp_is_line_rec.col76 := p3_a89;
3799     ddp_is_line_rec.col77 := p3_a90;
3800     ddp_is_line_rec.col78 := p3_a91;
3801     ddp_is_line_rec.col79 := p3_a92;
3802     ddp_is_line_rec.col80 := p3_a93;
3803     ddp_is_line_rec.col81 := p3_a94;
3804     ddp_is_line_rec.col82 := p3_a95;
3805     ddp_is_line_rec.col83 := p3_a96;
3806     ddp_is_line_rec.col84 := p3_a97;
3807     ddp_is_line_rec.col85 := p3_a98;
3808     ddp_is_line_rec.col86 := p3_a99;
3809     ddp_is_line_rec.col87 := p3_a100;
3810     ddp_is_line_rec.col88 := p3_a101;
3811     ddp_is_line_rec.col89 := p3_a102;
3812     ddp_is_line_rec.col90 := p3_a103;
3813     ddp_is_line_rec.col91 := p3_a104;
3814     ddp_is_line_rec.col92 := p3_a105;
3815     ddp_is_line_rec.col93 := p3_a106;
3816     ddp_is_line_rec.col94 := p3_a107;
3817     ddp_is_line_rec.col95 := p3_a108;
3818     ddp_is_line_rec.col96 := p3_a109;
3819     ddp_is_line_rec.col97 := p3_a110;
3820     ddp_is_line_rec.col98 := p3_a111;
3821     ddp_is_line_rec.col99 := p3_a112;
3822     ddp_is_line_rec.col100 := p3_a113;
3823     ddp_is_line_rec.col101 := p3_a114;
3824     ddp_is_line_rec.col102 := p3_a115;
3825     ddp_is_line_rec.col103 := p3_a116;
3826     ddp_is_line_rec.col104 := p3_a117;
3827     ddp_is_line_rec.col105 := p3_a118;
3828     ddp_is_line_rec.col106 := p3_a119;
3829     ddp_is_line_rec.col107 := p3_a120;
3830     ddp_is_line_rec.col108 := p3_a121;
3831     ddp_is_line_rec.col109 := p3_a122;
3832     ddp_is_line_rec.col110 := p3_a123;
3833     ddp_is_line_rec.col111 := p3_a124;
3834     ddp_is_line_rec.col112 := p3_a125;
3835     ddp_is_line_rec.col113 := p3_a126;
3836     ddp_is_line_rec.col114 := p3_a127;
3837     ddp_is_line_rec.col115 := p3_a128;
3838     ddp_is_line_rec.col116 := p3_a129;
3839     ddp_is_line_rec.col117 := p3_a130;
3840     ddp_is_line_rec.col118 := p3_a131;
3841     ddp_is_line_rec.col119 := p3_a132;
3842     ddp_is_line_rec.col120 := p3_a133;
3843     ddp_is_line_rec.col121 := p3_a134;
3844     ddp_is_line_rec.col122 := p3_a135;
3845     ddp_is_line_rec.col123 := p3_a136;
3846     ddp_is_line_rec.col124 := p3_a137;
3847     ddp_is_line_rec.col125 := p3_a138;
3848     ddp_is_line_rec.col126 := p3_a139;
3849     ddp_is_line_rec.col127 := p3_a140;
3850     ddp_is_line_rec.col128 := p3_a141;
3851     ddp_is_line_rec.col129 := p3_a142;
3852     ddp_is_line_rec.col130 := p3_a143;
3853     ddp_is_line_rec.col131 := p3_a144;
3854     ddp_is_line_rec.col132 := p3_a145;
3855     ddp_is_line_rec.col133 := p3_a146;
3856     ddp_is_line_rec.col134 := p3_a147;
3857     ddp_is_line_rec.col135 := p3_a148;
3858     ddp_is_line_rec.col136 := p3_a149;
3859     ddp_is_line_rec.col137 := p3_a150;
3860     ddp_is_line_rec.col138 := p3_a151;
3861     ddp_is_line_rec.col139 := p3_a152;
3862     ddp_is_line_rec.col140 := p3_a153;
3863     ddp_is_line_rec.col141 := p3_a154;
3864     ddp_is_line_rec.col142 := p3_a155;
3865     ddp_is_line_rec.col143 := p3_a156;
3866     ddp_is_line_rec.col144 := p3_a157;
3867     ddp_is_line_rec.col145 := p3_a158;
3868     ddp_is_line_rec.col146 := p3_a159;
3869     ddp_is_line_rec.col147 := p3_a160;
3870     ddp_is_line_rec.col148 := p3_a161;
3871     ddp_is_line_rec.col149 := p3_a162;
3872     ddp_is_line_rec.col150 := p3_a163;
3873     ddp_is_line_rec.col151 := p3_a164;
3874     ddp_is_line_rec.col152 := p3_a165;
3875     ddp_is_line_rec.col153 := p3_a166;
3876     ddp_is_line_rec.col154 := p3_a167;
3877     ddp_is_line_rec.col155 := p3_a168;
3878     ddp_is_line_rec.col156 := p3_a169;
3879     ddp_is_line_rec.col157 := p3_a170;
3880     ddp_is_line_rec.col158 := p3_a171;
3881     ddp_is_line_rec.col159 := p3_a172;
3882     ddp_is_line_rec.col160 := p3_a173;
3883     ddp_is_line_rec.col161 := p3_a174;
3884     ddp_is_line_rec.col162 := p3_a175;
3885     ddp_is_line_rec.col163 := p3_a176;
3886     ddp_is_line_rec.col164 := p3_a177;
3887     ddp_is_line_rec.col165 := p3_a178;
3888     ddp_is_line_rec.col166 := p3_a179;
3889     ddp_is_line_rec.col167 := p3_a180;
3890     ddp_is_line_rec.col168 := p3_a181;
3891     ddp_is_line_rec.col169 := p3_a182;
3892     ddp_is_line_rec.col170 := p3_a183;
3893     ddp_is_line_rec.col171 := p3_a184;
3894     ddp_is_line_rec.col172 := p3_a185;
3895     ddp_is_line_rec.col173 := p3_a186;
3896     ddp_is_line_rec.col174 := p3_a187;
3897     ddp_is_line_rec.col175 := p3_a188;
3898     ddp_is_line_rec.col176 := p3_a189;
3899     ddp_is_line_rec.col177 := p3_a190;
3900     ddp_is_line_rec.col178 := p3_a191;
3901     ddp_is_line_rec.col179 := p3_a192;
3902     ddp_is_line_rec.col180 := p3_a193;
3903     ddp_is_line_rec.col181 := p3_a194;
3904     ddp_is_line_rec.col182 := p3_a195;
3905     ddp_is_line_rec.col183 := p3_a196;
3906     ddp_is_line_rec.col184 := p3_a197;
3910     ddp_is_line_rec.col188 := p3_a201;
3907     ddp_is_line_rec.col185 := p3_a198;
3908     ddp_is_line_rec.col186 := p3_a199;
3909     ddp_is_line_rec.col187 := p3_a200;
3911     ddp_is_line_rec.col189 := p3_a202;
3912     ddp_is_line_rec.col190 := p3_a203;
3913     ddp_is_line_rec.col191 := p3_a204;
3914     ddp_is_line_rec.col192 := p3_a205;
3915     ddp_is_line_rec.col193 := p3_a206;
3916     ddp_is_line_rec.col194 := p3_a207;
3917     ddp_is_line_rec.col195 := p3_a208;
3918     ddp_is_line_rec.col196 := p3_a209;
3919     ddp_is_line_rec.col197 := p3_a210;
3920     ddp_is_line_rec.col198 := p3_a211;
3921     ddp_is_line_rec.col199 := p3_a212;
3922     ddp_is_line_rec.col200 := p3_a213;
3923     ddp_is_line_rec.col201 := p3_a214;
3924     ddp_is_line_rec.col202 := p3_a215;
3925     ddp_is_line_rec.col203 := p3_a216;
3926     ddp_is_line_rec.col204 := p3_a217;
3927     ddp_is_line_rec.col205 := p3_a218;
3928     ddp_is_line_rec.col206 := p3_a219;
3929     ddp_is_line_rec.col207 := p3_a220;
3930     ddp_is_line_rec.col208 := p3_a221;
3931     ddp_is_line_rec.col209 := p3_a222;
3932     ddp_is_line_rec.col210 := p3_a223;
3933     ddp_is_line_rec.col211 := p3_a224;
3934     ddp_is_line_rec.col212 := p3_a225;
3935     ddp_is_line_rec.col213 := p3_a226;
3936     ddp_is_line_rec.col214 := p3_a227;
3937     ddp_is_line_rec.col215 := p3_a228;
3938     ddp_is_line_rec.col216 := p3_a229;
3939     ddp_is_line_rec.col217 := p3_a230;
3940     ddp_is_line_rec.col218 := p3_a231;
3941     ddp_is_line_rec.col219 := p3_a232;
3942     ddp_is_line_rec.col220 := p3_a233;
3943     ddp_is_line_rec.col221 := p3_a234;
3944     ddp_is_line_rec.col222 := p3_a235;
3945     ddp_is_line_rec.col223 := p3_a236;
3946     ddp_is_line_rec.col224 := p3_a237;
3947     ddp_is_line_rec.col225 := p3_a238;
3948     ddp_is_line_rec.col226 := p3_a239;
3949     ddp_is_line_rec.col227 := p3_a240;
3950     ddp_is_line_rec.col228 := p3_a241;
3951     ddp_is_line_rec.col229 := p3_a242;
3952     ddp_is_line_rec.col230 := p3_a243;
3953     ddp_is_line_rec.col231 := p3_a244;
3954     ddp_is_line_rec.col232 := p3_a245;
3955     ddp_is_line_rec.col233 := p3_a246;
3956     ddp_is_line_rec.col234 := p3_a247;
3957     ddp_is_line_rec.col235 := p3_a248;
3958     ddp_is_line_rec.col236 := p3_a249;
3959     ddp_is_line_rec.col237 := p3_a250;
3960     ddp_is_line_rec.col238 := p3_a251;
3961     ddp_is_line_rec.col239 := p3_a252;
3962     ddp_is_line_rec.col240 := p3_a253;
3963     ddp_is_line_rec.col241 := p3_a254;
3964     ddp_is_line_rec.col242 := p3_a255;
3965     ddp_is_line_rec.col243 := p3_a256;
3966     ddp_is_line_rec.col244 := p3_a257;
3967     ddp_is_line_rec.col245 := p3_a258;
3968     ddp_is_line_rec.col246 := p3_a259;
3969     ddp_is_line_rec.col247 := p3_a260;
3970     ddp_is_line_rec.col248 := p3_a261;
3971     ddp_is_line_rec.col249 := p3_a262;
3972     ddp_is_line_rec.col250 := p3_a263;
3973     ddp_is_line_rec.duplicate_flag := p3_a264;
3974     ddp_is_line_rec.current_usage := rosetta_g_miss_num_map(p3_a265);
3975     ddp_is_line_rec.load_status := p3_a266;
3976     ddp_is_line_rec.notes := p3_a267;
3977     ddp_is_line_rec.sales_agent_email_address := p3_a268;
3978     ddp_is_line_rec.vehicle_response_code := p3_a269;
3979     ddp_is_line_rec.custom_column1 := p3_a270;
3980     ddp_is_line_rec.custom_column2 := p3_a271;
3981     ddp_is_line_rec.custom_column3 := p3_a272;
3982     ddp_is_line_rec.custom_column4 := p3_a273;
3983     ddp_is_line_rec.custom_column5 := p3_a274;
3984     ddp_is_line_rec.custom_column6 := p3_a275;
3985     ddp_is_line_rec.custom_column7 := p3_a276;
3986     ddp_is_line_rec.custom_column8 := p3_a277;
3987     ddp_is_line_rec.custom_column9 := p3_a278;
3988     ddp_is_line_rec.custom_column10 := p3_a279;
3989     ddp_is_line_rec.custom_column11 := p3_a280;
3990     ddp_is_line_rec.custom_column12 := p3_a281;
3991     ddp_is_line_rec.custom_column13 := p3_a282;
3992     ddp_is_line_rec.custom_column14 := p3_a283;
3993     ddp_is_line_rec.custom_column15 := p3_a284;
3994     ddp_is_line_rec.custom_column16 := p3_a285;
3995     ddp_is_line_rec.custom_column17 := p3_a286;
3996     ddp_is_line_rec.custom_column18 := p3_a287;
3997     ddp_is_line_rec.custom_column19 := p3_a288;
3998     ddp_is_line_rec.custom_column20 := p3_a289;
3999     ddp_is_line_rec.custom_column21 := p3_a290;
4000     ddp_is_line_rec.custom_column22 := p3_a291;
4001     ddp_is_line_rec.custom_column23 := p3_a292;
4002     ddp_is_line_rec.custom_column24 := p3_a293;
4003     ddp_is_line_rec.custom_column25 := p3_a294;
4004 
4005 
4006 
4007 
4008     -- here's the delegated call to the old PL/SQL routine
4009     ams_is_line_pvt.validate_is_line(p_api_version_number,
4010       p_init_msg_list,
4011       p_validation_level,
4012       ddp_is_line_rec,
4013       x_return_status,
4014       x_msg_count,
4015       x_msg_data);
4016 
4017     -- copy data back from the local variables to OUT or IN-OUT args, if any
4018 
4019 
4020 
4021 
4022 
4023 
4024   end;
4025 
4026   procedure check_is_line_items(p_validation_mode  VARCHAR2
4027     , x_return_status out nocopy  VARCHAR2
4028     , p0_a0  NUMBER := 0-1962.0724
4029     , p0_a1  NUMBER := 0-1962.0724
4030     , p0_a2  DATE := fnd_api.g_miss_date
4031     , p0_a3  NUMBER := 0-1962.0724
4032     , p0_a4  DATE := fnd_api.g_miss_date
4033     , p0_a5  NUMBER := 0-1962.0724
4034     , p0_a6  NUMBER := 0-1962.0724
4035     , p0_a7  NUMBER := 0-1962.0724
4036     , p0_a8  VARCHAR2 := fnd_api.g_miss_char
4040     , p0_a12  NUMBER := 0-1962.0724
4037     , p0_a9  VARCHAR2 := fnd_api.g_miss_char
4038     , p0_a10  VARCHAR2 := fnd_api.g_miss_char
4039     , p0_a11  DATE := fnd_api.g_miss_date
4041     , p0_a13  VARCHAR2 := fnd_api.g_miss_char
4042     , p0_a14  VARCHAR2 := fnd_api.g_miss_char
4043     , p0_a15  VARCHAR2 := fnd_api.g_miss_char
4044     , p0_a16  VARCHAR2 := fnd_api.g_miss_char
4045     , p0_a17  VARCHAR2 := fnd_api.g_miss_char
4046     , p0_a18  VARCHAR2 := fnd_api.g_miss_char
4047     , p0_a19  VARCHAR2 := fnd_api.g_miss_char
4048     , p0_a20  VARCHAR2 := fnd_api.g_miss_char
4049     , p0_a21  VARCHAR2 := fnd_api.g_miss_char
4050     , p0_a22  VARCHAR2 := fnd_api.g_miss_char
4051     , p0_a23  VARCHAR2 := fnd_api.g_miss_char
4052     , p0_a24  VARCHAR2 := fnd_api.g_miss_char
4053     , p0_a25  VARCHAR2 := fnd_api.g_miss_char
4054     , p0_a26  VARCHAR2 := fnd_api.g_miss_char
4055     , p0_a27  VARCHAR2 := fnd_api.g_miss_char
4056     , p0_a28  VARCHAR2 := fnd_api.g_miss_char
4057     , p0_a29  VARCHAR2 := fnd_api.g_miss_char
4058     , p0_a30  VARCHAR2 := fnd_api.g_miss_char
4059     , p0_a31  VARCHAR2 := fnd_api.g_miss_char
4060     , p0_a32  VARCHAR2 := fnd_api.g_miss_char
4061     , p0_a33  VARCHAR2 := fnd_api.g_miss_char
4062     , p0_a34  VARCHAR2 := fnd_api.g_miss_char
4063     , p0_a35  VARCHAR2 := fnd_api.g_miss_char
4064     , p0_a36  VARCHAR2 := fnd_api.g_miss_char
4065     , p0_a37  VARCHAR2 := fnd_api.g_miss_char
4066     , p0_a38  VARCHAR2 := fnd_api.g_miss_char
4067     , p0_a39  VARCHAR2 := fnd_api.g_miss_char
4068     , p0_a40  VARCHAR2 := fnd_api.g_miss_char
4069     , p0_a41  VARCHAR2 := fnd_api.g_miss_char
4070     , p0_a42  VARCHAR2 := fnd_api.g_miss_char
4071     , p0_a43  VARCHAR2 := fnd_api.g_miss_char
4072     , p0_a44  VARCHAR2 := fnd_api.g_miss_char
4073     , p0_a45  VARCHAR2 := fnd_api.g_miss_char
4074     , p0_a46  VARCHAR2 := fnd_api.g_miss_char
4075     , p0_a47  VARCHAR2 := fnd_api.g_miss_char
4076     , p0_a48  VARCHAR2 := fnd_api.g_miss_char
4077     , p0_a49  VARCHAR2 := fnd_api.g_miss_char
4078     , p0_a50  VARCHAR2 := fnd_api.g_miss_char
4079     , p0_a51  VARCHAR2 := fnd_api.g_miss_char
4080     , p0_a52  VARCHAR2 := fnd_api.g_miss_char
4081     , p0_a53  VARCHAR2 := fnd_api.g_miss_char
4082     , p0_a54  VARCHAR2 := fnd_api.g_miss_char
4083     , p0_a55  VARCHAR2 := fnd_api.g_miss_char
4084     , p0_a56  VARCHAR2 := fnd_api.g_miss_char
4085     , p0_a57  VARCHAR2 := fnd_api.g_miss_char
4086     , p0_a58  VARCHAR2 := fnd_api.g_miss_char
4087     , p0_a59  VARCHAR2 := fnd_api.g_miss_char
4088     , p0_a60  VARCHAR2 := fnd_api.g_miss_char
4089     , p0_a61  VARCHAR2 := fnd_api.g_miss_char
4090     , p0_a62  VARCHAR2 := fnd_api.g_miss_char
4091     , p0_a63  VARCHAR2 := fnd_api.g_miss_char
4092     , p0_a64  VARCHAR2 := fnd_api.g_miss_char
4093     , p0_a65  VARCHAR2 := fnd_api.g_miss_char
4094     , p0_a66  VARCHAR2 := fnd_api.g_miss_char
4095     , p0_a67  VARCHAR2 := fnd_api.g_miss_char
4096     , p0_a68  VARCHAR2 := fnd_api.g_miss_char
4097     , p0_a69  VARCHAR2 := fnd_api.g_miss_char
4098     , p0_a70  VARCHAR2 := fnd_api.g_miss_char
4099     , p0_a71  VARCHAR2 := fnd_api.g_miss_char
4100     , p0_a72  VARCHAR2 := fnd_api.g_miss_char
4101     , p0_a73  VARCHAR2 := fnd_api.g_miss_char
4102     , p0_a74  VARCHAR2 := fnd_api.g_miss_char
4103     , p0_a75  VARCHAR2 := fnd_api.g_miss_char
4104     , p0_a76  VARCHAR2 := fnd_api.g_miss_char
4105     , p0_a77  VARCHAR2 := fnd_api.g_miss_char
4106     , p0_a78  VARCHAR2 := fnd_api.g_miss_char
4107     , p0_a79  VARCHAR2 := fnd_api.g_miss_char
4108     , p0_a80  VARCHAR2 := fnd_api.g_miss_char
4109     , p0_a81  VARCHAR2 := fnd_api.g_miss_char
4110     , p0_a82  VARCHAR2 := fnd_api.g_miss_char
4111     , p0_a83  VARCHAR2 := fnd_api.g_miss_char
4112     , p0_a84  VARCHAR2 := fnd_api.g_miss_char
4113     , p0_a85  VARCHAR2 := fnd_api.g_miss_char
4114     , p0_a86  VARCHAR2 := fnd_api.g_miss_char
4115     , p0_a87  VARCHAR2 := fnd_api.g_miss_char
4116     , p0_a88  VARCHAR2 := fnd_api.g_miss_char
4117     , p0_a89  VARCHAR2 := fnd_api.g_miss_char
4118     , p0_a90  VARCHAR2 := fnd_api.g_miss_char
4119     , p0_a91  VARCHAR2 := fnd_api.g_miss_char
4120     , p0_a92  VARCHAR2 := fnd_api.g_miss_char
4121     , p0_a93  VARCHAR2 := fnd_api.g_miss_char
4122     , p0_a94  VARCHAR2 := fnd_api.g_miss_char
4123     , p0_a95  VARCHAR2 := fnd_api.g_miss_char
4124     , p0_a96  VARCHAR2 := fnd_api.g_miss_char
4125     , p0_a97  VARCHAR2 := fnd_api.g_miss_char
4126     , p0_a98  VARCHAR2 := fnd_api.g_miss_char
4127     , p0_a99  VARCHAR2 := fnd_api.g_miss_char
4128     , p0_a100  VARCHAR2 := fnd_api.g_miss_char
4129     , p0_a101  VARCHAR2 := fnd_api.g_miss_char
4130     , p0_a102  VARCHAR2 := fnd_api.g_miss_char
4131     , p0_a103  VARCHAR2 := fnd_api.g_miss_char
4132     , p0_a104  VARCHAR2 := fnd_api.g_miss_char
4133     , p0_a105  VARCHAR2 := fnd_api.g_miss_char
4134     , p0_a106  VARCHAR2 := fnd_api.g_miss_char
4135     , p0_a107  VARCHAR2 := fnd_api.g_miss_char
4136     , p0_a108  VARCHAR2 := fnd_api.g_miss_char
4137     , p0_a109  VARCHAR2 := fnd_api.g_miss_char
4138     , p0_a110  VARCHAR2 := fnd_api.g_miss_char
4139     , p0_a111  VARCHAR2 := fnd_api.g_miss_char
4140     , p0_a112  VARCHAR2 := fnd_api.g_miss_char
4141     , p0_a113  VARCHAR2 := fnd_api.g_miss_char
4142     , p0_a114  VARCHAR2 := fnd_api.g_miss_char
4143     , p0_a115  VARCHAR2 := fnd_api.g_miss_char
4144     , p0_a116  VARCHAR2 := fnd_api.g_miss_char
4145     , p0_a117  VARCHAR2 := fnd_api.g_miss_char
4146     , p0_a118  VARCHAR2 := fnd_api.g_miss_char
4150     , p0_a122  VARCHAR2 := fnd_api.g_miss_char
4147     , p0_a119  VARCHAR2 := fnd_api.g_miss_char
4148     , p0_a120  VARCHAR2 := fnd_api.g_miss_char
4149     , p0_a121  VARCHAR2 := fnd_api.g_miss_char
4151     , p0_a123  VARCHAR2 := fnd_api.g_miss_char
4152     , p0_a124  VARCHAR2 := fnd_api.g_miss_char
4153     , p0_a125  VARCHAR2 := fnd_api.g_miss_char
4154     , p0_a126  VARCHAR2 := fnd_api.g_miss_char
4155     , p0_a127  VARCHAR2 := fnd_api.g_miss_char
4156     , p0_a128  VARCHAR2 := fnd_api.g_miss_char
4157     , p0_a129  VARCHAR2 := fnd_api.g_miss_char
4158     , p0_a130  VARCHAR2 := fnd_api.g_miss_char
4159     , p0_a131  VARCHAR2 := fnd_api.g_miss_char
4160     , p0_a132  VARCHAR2 := fnd_api.g_miss_char
4161     , p0_a133  VARCHAR2 := fnd_api.g_miss_char
4162     , p0_a134  VARCHAR2 := fnd_api.g_miss_char
4163     , p0_a135  VARCHAR2 := fnd_api.g_miss_char
4164     , p0_a136  VARCHAR2 := fnd_api.g_miss_char
4165     , p0_a137  VARCHAR2 := fnd_api.g_miss_char
4166     , p0_a138  VARCHAR2 := fnd_api.g_miss_char
4167     , p0_a139  VARCHAR2 := fnd_api.g_miss_char
4168     , p0_a140  VARCHAR2 := fnd_api.g_miss_char
4169     , p0_a141  VARCHAR2 := fnd_api.g_miss_char
4170     , p0_a142  VARCHAR2 := fnd_api.g_miss_char
4171     , p0_a143  VARCHAR2 := fnd_api.g_miss_char
4172     , p0_a144  VARCHAR2 := fnd_api.g_miss_char
4173     , p0_a145  VARCHAR2 := fnd_api.g_miss_char
4174     , p0_a146  VARCHAR2 := fnd_api.g_miss_char
4175     , p0_a147  VARCHAR2 := fnd_api.g_miss_char
4176     , p0_a148  VARCHAR2 := fnd_api.g_miss_char
4177     , p0_a149  VARCHAR2 := fnd_api.g_miss_char
4178     , p0_a150  VARCHAR2 := fnd_api.g_miss_char
4179     , p0_a151  VARCHAR2 := fnd_api.g_miss_char
4180     , p0_a152  VARCHAR2 := fnd_api.g_miss_char
4181     , p0_a153  VARCHAR2 := fnd_api.g_miss_char
4182     , p0_a154  VARCHAR2 := fnd_api.g_miss_char
4183     , p0_a155  VARCHAR2 := fnd_api.g_miss_char
4184     , p0_a156  VARCHAR2 := fnd_api.g_miss_char
4185     , p0_a157  VARCHAR2 := fnd_api.g_miss_char
4186     , p0_a158  VARCHAR2 := fnd_api.g_miss_char
4187     , p0_a159  VARCHAR2 := fnd_api.g_miss_char
4188     , p0_a160  VARCHAR2 := fnd_api.g_miss_char
4189     , p0_a161  VARCHAR2 := fnd_api.g_miss_char
4190     , p0_a162  VARCHAR2 := fnd_api.g_miss_char
4191     , p0_a163  VARCHAR2 := fnd_api.g_miss_char
4192     , p0_a164  VARCHAR2 := fnd_api.g_miss_char
4193     , p0_a165  VARCHAR2 := fnd_api.g_miss_char
4194     , p0_a166  VARCHAR2 := fnd_api.g_miss_char
4195     , p0_a167  VARCHAR2 := fnd_api.g_miss_char
4196     , p0_a168  VARCHAR2 := fnd_api.g_miss_char
4197     , p0_a169  VARCHAR2 := fnd_api.g_miss_char
4198     , p0_a170  VARCHAR2 := fnd_api.g_miss_char
4199     , p0_a171  VARCHAR2 := fnd_api.g_miss_char
4200     , p0_a172  VARCHAR2 := fnd_api.g_miss_char
4201     , p0_a173  VARCHAR2 := fnd_api.g_miss_char
4202     , p0_a174  VARCHAR2 := fnd_api.g_miss_char
4203     , p0_a175  VARCHAR2 := fnd_api.g_miss_char
4204     , p0_a176  VARCHAR2 := fnd_api.g_miss_char
4205     , p0_a177  VARCHAR2 := fnd_api.g_miss_char
4206     , p0_a178  VARCHAR2 := fnd_api.g_miss_char
4207     , p0_a179  VARCHAR2 := fnd_api.g_miss_char
4208     , p0_a180  VARCHAR2 := fnd_api.g_miss_char
4209     , p0_a181  VARCHAR2 := fnd_api.g_miss_char
4210     , p0_a182  VARCHAR2 := fnd_api.g_miss_char
4211     , p0_a183  VARCHAR2 := fnd_api.g_miss_char
4212     , p0_a184  VARCHAR2 := fnd_api.g_miss_char
4213     , p0_a185  VARCHAR2 := fnd_api.g_miss_char
4214     , p0_a186  VARCHAR2 := fnd_api.g_miss_char
4215     , p0_a187  VARCHAR2 := fnd_api.g_miss_char
4216     , p0_a188  VARCHAR2 := fnd_api.g_miss_char
4217     , p0_a189  VARCHAR2 := fnd_api.g_miss_char
4218     , p0_a190  VARCHAR2 := fnd_api.g_miss_char
4219     , p0_a191  VARCHAR2 := fnd_api.g_miss_char
4220     , p0_a192  VARCHAR2 := fnd_api.g_miss_char
4221     , p0_a193  VARCHAR2 := fnd_api.g_miss_char
4222     , p0_a194  VARCHAR2 := fnd_api.g_miss_char
4223     , p0_a195  VARCHAR2 := fnd_api.g_miss_char
4224     , p0_a196  VARCHAR2 := fnd_api.g_miss_char
4225     , p0_a197  VARCHAR2 := fnd_api.g_miss_char
4226     , p0_a198  VARCHAR2 := fnd_api.g_miss_char
4227     , p0_a199  VARCHAR2 := fnd_api.g_miss_char
4228     , p0_a200  VARCHAR2 := fnd_api.g_miss_char
4229     , p0_a201  VARCHAR2 := fnd_api.g_miss_char
4230     , p0_a202  VARCHAR2 := fnd_api.g_miss_char
4231     , p0_a203  VARCHAR2 := fnd_api.g_miss_char
4232     , p0_a204  VARCHAR2 := fnd_api.g_miss_char
4233     , p0_a205  VARCHAR2 := fnd_api.g_miss_char
4234     , p0_a206  VARCHAR2 := fnd_api.g_miss_char
4235     , p0_a207  VARCHAR2 := fnd_api.g_miss_char
4236     , p0_a208  VARCHAR2 := fnd_api.g_miss_char
4237     , p0_a209  VARCHAR2 := fnd_api.g_miss_char
4238     , p0_a210  VARCHAR2 := fnd_api.g_miss_char
4239     , p0_a211  VARCHAR2 := fnd_api.g_miss_char
4240     , p0_a212  VARCHAR2 := fnd_api.g_miss_char
4241     , p0_a213  VARCHAR2 := fnd_api.g_miss_char
4242     , p0_a214  VARCHAR2 := fnd_api.g_miss_char
4243     , p0_a215  VARCHAR2 := fnd_api.g_miss_char
4244     , p0_a216  VARCHAR2 := fnd_api.g_miss_char
4245     , p0_a217  VARCHAR2 := fnd_api.g_miss_char
4246     , p0_a218  VARCHAR2 := fnd_api.g_miss_char
4247     , p0_a219  VARCHAR2 := fnd_api.g_miss_char
4248     , p0_a220  VARCHAR2 := fnd_api.g_miss_char
4249     , p0_a221  VARCHAR2 := fnd_api.g_miss_char
4250     , p0_a222  VARCHAR2 := fnd_api.g_miss_char
4251     , p0_a223  VARCHAR2 := fnd_api.g_miss_char
4252     , p0_a224  VARCHAR2 := fnd_api.g_miss_char
4253     , p0_a225  VARCHAR2 := fnd_api.g_miss_char
4254     , p0_a226  VARCHAR2 := fnd_api.g_miss_char
4255     , p0_a227  VARCHAR2 := fnd_api.g_miss_char
4259     , p0_a231  VARCHAR2 := fnd_api.g_miss_char
4256     , p0_a228  VARCHAR2 := fnd_api.g_miss_char
4257     , p0_a229  VARCHAR2 := fnd_api.g_miss_char
4258     , p0_a230  VARCHAR2 := fnd_api.g_miss_char
4260     , p0_a232  VARCHAR2 := fnd_api.g_miss_char
4261     , p0_a233  VARCHAR2 := fnd_api.g_miss_char
4262     , p0_a234  VARCHAR2 := fnd_api.g_miss_char
4263     , p0_a235  VARCHAR2 := fnd_api.g_miss_char
4264     , p0_a236  VARCHAR2 := fnd_api.g_miss_char
4265     , p0_a237  VARCHAR2 := fnd_api.g_miss_char
4266     , p0_a238  VARCHAR2 := fnd_api.g_miss_char
4267     , p0_a239  VARCHAR2 := fnd_api.g_miss_char
4268     , p0_a240  VARCHAR2 := fnd_api.g_miss_char
4269     , p0_a241  VARCHAR2 := fnd_api.g_miss_char
4270     , p0_a242  VARCHAR2 := fnd_api.g_miss_char
4271     , p0_a243  VARCHAR2 := fnd_api.g_miss_char
4272     , p0_a244  VARCHAR2 := fnd_api.g_miss_char
4273     , p0_a245  VARCHAR2 := fnd_api.g_miss_char
4274     , p0_a246  VARCHAR2 := fnd_api.g_miss_char
4275     , p0_a247  VARCHAR2 := fnd_api.g_miss_char
4276     , p0_a248  VARCHAR2 := fnd_api.g_miss_char
4277     , p0_a249  VARCHAR2 := fnd_api.g_miss_char
4278     , p0_a250  VARCHAR2 := fnd_api.g_miss_char
4279     , p0_a251  VARCHAR2 := fnd_api.g_miss_char
4280     , p0_a252  VARCHAR2 := fnd_api.g_miss_char
4281     , p0_a253  VARCHAR2 := fnd_api.g_miss_char
4282     , p0_a254  VARCHAR2 := fnd_api.g_miss_char
4283     , p0_a255  VARCHAR2 := fnd_api.g_miss_char
4284     , p0_a256  VARCHAR2 := fnd_api.g_miss_char
4285     , p0_a257  VARCHAR2 := fnd_api.g_miss_char
4286     , p0_a258  VARCHAR2 := fnd_api.g_miss_char
4287     , p0_a259  VARCHAR2 := fnd_api.g_miss_char
4288     , p0_a260  VARCHAR2 := fnd_api.g_miss_char
4289     , p0_a261  VARCHAR2 := fnd_api.g_miss_char
4290     , p0_a262  VARCHAR2 := fnd_api.g_miss_char
4291     , p0_a263  VARCHAR2 := fnd_api.g_miss_char
4292     , p0_a264  VARCHAR2 := fnd_api.g_miss_char
4293     , p0_a265  NUMBER := 0-1962.0724
4294     , p0_a266  VARCHAR2 := fnd_api.g_miss_char
4295     , p0_a267  VARCHAR2 := fnd_api.g_miss_char
4296     , p0_a268  VARCHAR2 := fnd_api.g_miss_char
4297     , p0_a269  VARCHAR2 := fnd_api.g_miss_char
4298     , p0_a270  VARCHAR2 := fnd_api.g_miss_char
4299     , p0_a271  VARCHAR2 := fnd_api.g_miss_char
4300     , p0_a272  VARCHAR2 := fnd_api.g_miss_char
4301     , p0_a273  VARCHAR2 := fnd_api.g_miss_char
4302     , p0_a274  VARCHAR2 := fnd_api.g_miss_char
4303     , p0_a275  VARCHAR2 := fnd_api.g_miss_char
4304     , p0_a276  VARCHAR2 := fnd_api.g_miss_char
4305     , p0_a277  VARCHAR2 := fnd_api.g_miss_char
4306     , p0_a278  VARCHAR2 := fnd_api.g_miss_char
4307     , p0_a279  VARCHAR2 := fnd_api.g_miss_char
4308     , p0_a280  VARCHAR2 := fnd_api.g_miss_char
4309     , p0_a281  VARCHAR2 := fnd_api.g_miss_char
4310     , p0_a282  VARCHAR2 := fnd_api.g_miss_char
4311     , p0_a283  VARCHAR2 := fnd_api.g_miss_char
4312     , p0_a284  VARCHAR2 := fnd_api.g_miss_char
4313     , p0_a285  VARCHAR2 := fnd_api.g_miss_char
4314     , p0_a286  VARCHAR2 := fnd_api.g_miss_char
4315     , p0_a287  VARCHAR2 := fnd_api.g_miss_char
4316     , p0_a288  VARCHAR2 := fnd_api.g_miss_char
4317     , p0_a289  VARCHAR2 := fnd_api.g_miss_char
4318     , p0_a290  VARCHAR2 := fnd_api.g_miss_char
4319     , p0_a291  VARCHAR2 := fnd_api.g_miss_char
4320     , p0_a292  VARCHAR2 := fnd_api.g_miss_char
4321     , p0_a293  VARCHAR2 := fnd_api.g_miss_char
4322     , p0_a294  VARCHAR2 := fnd_api.g_miss_char
4323   )
4324 
4325   as
4326     ddp_is_line_rec ams_is_line_pvt.is_line_rec_type;
4327     ddindx binary_integer; indx binary_integer;
4328   begin
4329 
4330     -- copy data to the local IN or IN-OUT args, if any
4331     ddp_is_line_rec.import_source_line_id := rosetta_g_miss_num_map(p0_a0);
4332     ddp_is_line_rec.object_version_number := rosetta_g_miss_num_map(p0_a1);
4333     ddp_is_line_rec.last_update_date := rosetta_g_miss_date_in_map(p0_a2);
4334     ddp_is_line_rec.last_updated_by := rosetta_g_miss_num_map(p0_a3);
4335     ddp_is_line_rec.creation_date := rosetta_g_miss_date_in_map(p0_a4);
4336     ddp_is_line_rec.created_by := rosetta_g_miss_num_map(p0_a5);
4337     ddp_is_line_rec.last_update_login := rosetta_g_miss_num_map(p0_a6);
4338     ddp_is_line_rec.import_list_header_id := rosetta_g_miss_num_map(p0_a7);
4339     ddp_is_line_rec.import_successful_flag := p0_a8;
4340     ddp_is_line_rec.enabled_flag := p0_a9;
4341     ddp_is_line_rec.import_failure_reason := p0_a10;
4342     ddp_is_line_rec.re_import_last_done_date := rosetta_g_miss_date_in_map(p0_a11);
4343     ddp_is_line_rec.party_id := rosetta_g_miss_num_map(p0_a12);
4344     ddp_is_line_rec.dedupe_key := p0_a13;
4345     ddp_is_line_rec.col1 := p0_a14;
4346     ddp_is_line_rec.col2 := p0_a15;
4347     ddp_is_line_rec.col3 := p0_a16;
4348     ddp_is_line_rec.col4 := p0_a17;
4349     ddp_is_line_rec.col5 := p0_a18;
4350     ddp_is_line_rec.col6 := p0_a19;
4351     ddp_is_line_rec.col7 := p0_a20;
4352     ddp_is_line_rec.col8 := p0_a21;
4353     ddp_is_line_rec.col9 := p0_a22;
4354     ddp_is_line_rec.col10 := p0_a23;
4355     ddp_is_line_rec.col11 := p0_a24;
4356     ddp_is_line_rec.col12 := p0_a25;
4357     ddp_is_line_rec.col13 := p0_a26;
4358     ddp_is_line_rec.col14 := p0_a27;
4359     ddp_is_line_rec.col15 := p0_a28;
4360     ddp_is_line_rec.col16 := p0_a29;
4361     ddp_is_line_rec.col17 := p0_a30;
4362     ddp_is_line_rec.col18 := p0_a31;
4363     ddp_is_line_rec.col19 := p0_a32;
4364     ddp_is_line_rec.col20 := p0_a33;
4365     ddp_is_line_rec.col21 := p0_a34;
4366     ddp_is_line_rec.col22 := p0_a35;
4367     ddp_is_line_rec.col23 := p0_a36;
4371     ddp_is_line_rec.col27 := p0_a40;
4368     ddp_is_line_rec.col24 := p0_a37;
4369     ddp_is_line_rec.col25 := p0_a38;
4370     ddp_is_line_rec.col26 := p0_a39;
4372     ddp_is_line_rec.col28 := p0_a41;
4373     ddp_is_line_rec.col29 := p0_a42;
4374     ddp_is_line_rec.col30 := p0_a43;
4375     ddp_is_line_rec.col31 := p0_a44;
4376     ddp_is_line_rec.col32 := p0_a45;
4377     ddp_is_line_rec.col33 := p0_a46;
4378     ddp_is_line_rec.col34 := p0_a47;
4379     ddp_is_line_rec.col35 := p0_a48;
4380     ddp_is_line_rec.col36 := p0_a49;
4381     ddp_is_line_rec.col37 := p0_a50;
4382     ddp_is_line_rec.col38 := p0_a51;
4383     ddp_is_line_rec.col39 := p0_a52;
4384     ddp_is_line_rec.col40 := p0_a53;
4385     ddp_is_line_rec.col41 := p0_a54;
4386     ddp_is_line_rec.col42 := p0_a55;
4387     ddp_is_line_rec.col43 := p0_a56;
4388     ddp_is_line_rec.col44 := p0_a57;
4389     ddp_is_line_rec.col45 := p0_a58;
4390     ddp_is_line_rec.col46 := p0_a59;
4391     ddp_is_line_rec.col47 := p0_a60;
4392     ddp_is_line_rec.col48 := p0_a61;
4393     ddp_is_line_rec.col49 := p0_a62;
4394     ddp_is_line_rec.col50 := p0_a63;
4395     ddp_is_line_rec.col51 := p0_a64;
4396     ddp_is_line_rec.col52 := p0_a65;
4397     ddp_is_line_rec.col53 := p0_a66;
4398     ddp_is_line_rec.col54 := p0_a67;
4399     ddp_is_line_rec.col55 := p0_a68;
4400     ddp_is_line_rec.col56 := p0_a69;
4401     ddp_is_line_rec.col57 := p0_a70;
4402     ddp_is_line_rec.col58 := p0_a71;
4403     ddp_is_line_rec.col59 := p0_a72;
4404     ddp_is_line_rec.col60 := p0_a73;
4405     ddp_is_line_rec.col61 := p0_a74;
4406     ddp_is_line_rec.col62 := p0_a75;
4407     ddp_is_line_rec.col63 := p0_a76;
4408     ddp_is_line_rec.col64 := p0_a77;
4409     ddp_is_line_rec.col65 := p0_a78;
4410     ddp_is_line_rec.col66 := p0_a79;
4411     ddp_is_line_rec.col67 := p0_a80;
4412     ddp_is_line_rec.col68 := p0_a81;
4413     ddp_is_line_rec.col69 := p0_a82;
4414     ddp_is_line_rec.col70 := p0_a83;
4415     ddp_is_line_rec.col71 := p0_a84;
4416     ddp_is_line_rec.col72 := p0_a85;
4417     ddp_is_line_rec.col73 := p0_a86;
4418     ddp_is_line_rec.col74 := p0_a87;
4419     ddp_is_line_rec.col75 := p0_a88;
4420     ddp_is_line_rec.col76 := p0_a89;
4421     ddp_is_line_rec.col77 := p0_a90;
4422     ddp_is_line_rec.col78 := p0_a91;
4423     ddp_is_line_rec.col79 := p0_a92;
4424     ddp_is_line_rec.col80 := p0_a93;
4425     ddp_is_line_rec.col81 := p0_a94;
4426     ddp_is_line_rec.col82 := p0_a95;
4427     ddp_is_line_rec.col83 := p0_a96;
4428     ddp_is_line_rec.col84 := p0_a97;
4429     ddp_is_line_rec.col85 := p0_a98;
4430     ddp_is_line_rec.col86 := p0_a99;
4431     ddp_is_line_rec.col87 := p0_a100;
4432     ddp_is_line_rec.col88 := p0_a101;
4433     ddp_is_line_rec.col89 := p0_a102;
4434     ddp_is_line_rec.col90 := p0_a103;
4435     ddp_is_line_rec.col91 := p0_a104;
4436     ddp_is_line_rec.col92 := p0_a105;
4437     ddp_is_line_rec.col93 := p0_a106;
4438     ddp_is_line_rec.col94 := p0_a107;
4439     ddp_is_line_rec.col95 := p0_a108;
4440     ddp_is_line_rec.col96 := p0_a109;
4441     ddp_is_line_rec.col97 := p0_a110;
4442     ddp_is_line_rec.col98 := p0_a111;
4443     ddp_is_line_rec.col99 := p0_a112;
4444     ddp_is_line_rec.col100 := p0_a113;
4445     ddp_is_line_rec.col101 := p0_a114;
4446     ddp_is_line_rec.col102 := p0_a115;
4447     ddp_is_line_rec.col103 := p0_a116;
4448     ddp_is_line_rec.col104 := p0_a117;
4449     ddp_is_line_rec.col105 := p0_a118;
4450     ddp_is_line_rec.col106 := p0_a119;
4451     ddp_is_line_rec.col107 := p0_a120;
4452     ddp_is_line_rec.col108 := p0_a121;
4453     ddp_is_line_rec.col109 := p0_a122;
4454     ddp_is_line_rec.col110 := p0_a123;
4455     ddp_is_line_rec.col111 := p0_a124;
4456     ddp_is_line_rec.col112 := p0_a125;
4457     ddp_is_line_rec.col113 := p0_a126;
4458     ddp_is_line_rec.col114 := p0_a127;
4459     ddp_is_line_rec.col115 := p0_a128;
4460     ddp_is_line_rec.col116 := p0_a129;
4461     ddp_is_line_rec.col117 := p0_a130;
4462     ddp_is_line_rec.col118 := p0_a131;
4463     ddp_is_line_rec.col119 := p0_a132;
4464     ddp_is_line_rec.col120 := p0_a133;
4465     ddp_is_line_rec.col121 := p0_a134;
4466     ddp_is_line_rec.col122 := p0_a135;
4467     ddp_is_line_rec.col123 := p0_a136;
4468     ddp_is_line_rec.col124 := p0_a137;
4469     ddp_is_line_rec.col125 := p0_a138;
4470     ddp_is_line_rec.col126 := p0_a139;
4471     ddp_is_line_rec.col127 := p0_a140;
4472     ddp_is_line_rec.col128 := p0_a141;
4473     ddp_is_line_rec.col129 := p0_a142;
4474     ddp_is_line_rec.col130 := p0_a143;
4475     ddp_is_line_rec.col131 := p0_a144;
4476     ddp_is_line_rec.col132 := p0_a145;
4477     ddp_is_line_rec.col133 := p0_a146;
4478     ddp_is_line_rec.col134 := p0_a147;
4479     ddp_is_line_rec.col135 := p0_a148;
4480     ddp_is_line_rec.col136 := p0_a149;
4481     ddp_is_line_rec.col137 := p0_a150;
4482     ddp_is_line_rec.col138 := p0_a151;
4483     ddp_is_line_rec.col139 := p0_a152;
4484     ddp_is_line_rec.col140 := p0_a153;
4485     ddp_is_line_rec.col141 := p0_a154;
4486     ddp_is_line_rec.col142 := p0_a155;
4487     ddp_is_line_rec.col143 := p0_a156;
4488     ddp_is_line_rec.col144 := p0_a157;
4489     ddp_is_line_rec.col145 := p0_a158;
4490     ddp_is_line_rec.col146 := p0_a159;
4491     ddp_is_line_rec.col147 := p0_a160;
4492     ddp_is_line_rec.col148 := p0_a161;
4493     ddp_is_line_rec.col149 := p0_a162;
4494     ddp_is_line_rec.col150 := p0_a163;
4495     ddp_is_line_rec.col151 := p0_a164;
4499     ddp_is_line_rec.col155 := p0_a168;
4496     ddp_is_line_rec.col152 := p0_a165;
4497     ddp_is_line_rec.col153 := p0_a166;
4498     ddp_is_line_rec.col154 := p0_a167;
4500     ddp_is_line_rec.col156 := p0_a169;
4501     ddp_is_line_rec.col157 := p0_a170;
4502     ddp_is_line_rec.col158 := p0_a171;
4503     ddp_is_line_rec.col159 := p0_a172;
4504     ddp_is_line_rec.col160 := p0_a173;
4505     ddp_is_line_rec.col161 := p0_a174;
4506     ddp_is_line_rec.col162 := p0_a175;
4507     ddp_is_line_rec.col163 := p0_a176;
4508     ddp_is_line_rec.col164 := p0_a177;
4509     ddp_is_line_rec.col165 := p0_a178;
4510     ddp_is_line_rec.col166 := p0_a179;
4511     ddp_is_line_rec.col167 := p0_a180;
4512     ddp_is_line_rec.col168 := p0_a181;
4513     ddp_is_line_rec.col169 := p0_a182;
4514     ddp_is_line_rec.col170 := p0_a183;
4515     ddp_is_line_rec.col171 := p0_a184;
4516     ddp_is_line_rec.col172 := p0_a185;
4517     ddp_is_line_rec.col173 := p0_a186;
4518     ddp_is_line_rec.col174 := p0_a187;
4519     ddp_is_line_rec.col175 := p0_a188;
4520     ddp_is_line_rec.col176 := p0_a189;
4521     ddp_is_line_rec.col177 := p0_a190;
4522     ddp_is_line_rec.col178 := p0_a191;
4523     ddp_is_line_rec.col179 := p0_a192;
4524     ddp_is_line_rec.col180 := p0_a193;
4525     ddp_is_line_rec.col181 := p0_a194;
4526     ddp_is_line_rec.col182 := p0_a195;
4527     ddp_is_line_rec.col183 := p0_a196;
4528     ddp_is_line_rec.col184 := p0_a197;
4529     ddp_is_line_rec.col185 := p0_a198;
4530     ddp_is_line_rec.col186 := p0_a199;
4531     ddp_is_line_rec.col187 := p0_a200;
4532     ddp_is_line_rec.col188 := p0_a201;
4533     ddp_is_line_rec.col189 := p0_a202;
4534     ddp_is_line_rec.col190 := p0_a203;
4535     ddp_is_line_rec.col191 := p0_a204;
4536     ddp_is_line_rec.col192 := p0_a205;
4537     ddp_is_line_rec.col193 := p0_a206;
4538     ddp_is_line_rec.col194 := p0_a207;
4539     ddp_is_line_rec.col195 := p0_a208;
4540     ddp_is_line_rec.col196 := p0_a209;
4541     ddp_is_line_rec.col197 := p0_a210;
4542     ddp_is_line_rec.col198 := p0_a211;
4543     ddp_is_line_rec.col199 := p0_a212;
4544     ddp_is_line_rec.col200 := p0_a213;
4545     ddp_is_line_rec.col201 := p0_a214;
4546     ddp_is_line_rec.col202 := p0_a215;
4547     ddp_is_line_rec.col203 := p0_a216;
4548     ddp_is_line_rec.col204 := p0_a217;
4549     ddp_is_line_rec.col205 := p0_a218;
4550     ddp_is_line_rec.col206 := p0_a219;
4551     ddp_is_line_rec.col207 := p0_a220;
4552     ddp_is_line_rec.col208 := p0_a221;
4553     ddp_is_line_rec.col209 := p0_a222;
4554     ddp_is_line_rec.col210 := p0_a223;
4555     ddp_is_line_rec.col211 := p0_a224;
4556     ddp_is_line_rec.col212 := p0_a225;
4557     ddp_is_line_rec.col213 := p0_a226;
4558     ddp_is_line_rec.col214 := p0_a227;
4559     ddp_is_line_rec.col215 := p0_a228;
4560     ddp_is_line_rec.col216 := p0_a229;
4561     ddp_is_line_rec.col217 := p0_a230;
4562     ddp_is_line_rec.col218 := p0_a231;
4563     ddp_is_line_rec.col219 := p0_a232;
4564     ddp_is_line_rec.col220 := p0_a233;
4565     ddp_is_line_rec.col221 := p0_a234;
4566     ddp_is_line_rec.col222 := p0_a235;
4567     ddp_is_line_rec.col223 := p0_a236;
4568     ddp_is_line_rec.col224 := p0_a237;
4569     ddp_is_line_rec.col225 := p0_a238;
4570     ddp_is_line_rec.col226 := p0_a239;
4571     ddp_is_line_rec.col227 := p0_a240;
4572     ddp_is_line_rec.col228 := p0_a241;
4573     ddp_is_line_rec.col229 := p0_a242;
4574     ddp_is_line_rec.col230 := p0_a243;
4575     ddp_is_line_rec.col231 := p0_a244;
4576     ddp_is_line_rec.col232 := p0_a245;
4577     ddp_is_line_rec.col233 := p0_a246;
4578     ddp_is_line_rec.col234 := p0_a247;
4579     ddp_is_line_rec.col235 := p0_a248;
4580     ddp_is_line_rec.col236 := p0_a249;
4581     ddp_is_line_rec.col237 := p0_a250;
4582     ddp_is_line_rec.col238 := p0_a251;
4583     ddp_is_line_rec.col239 := p0_a252;
4584     ddp_is_line_rec.col240 := p0_a253;
4585     ddp_is_line_rec.col241 := p0_a254;
4586     ddp_is_line_rec.col242 := p0_a255;
4587     ddp_is_line_rec.col243 := p0_a256;
4588     ddp_is_line_rec.col244 := p0_a257;
4589     ddp_is_line_rec.col245 := p0_a258;
4590     ddp_is_line_rec.col246 := p0_a259;
4591     ddp_is_line_rec.col247 := p0_a260;
4592     ddp_is_line_rec.col248 := p0_a261;
4593     ddp_is_line_rec.col249 := p0_a262;
4594     ddp_is_line_rec.col250 := p0_a263;
4595     ddp_is_line_rec.duplicate_flag := p0_a264;
4596     ddp_is_line_rec.current_usage := rosetta_g_miss_num_map(p0_a265);
4597     ddp_is_line_rec.load_status := p0_a266;
4598     ddp_is_line_rec.notes := p0_a267;
4599     ddp_is_line_rec.sales_agent_email_address := p0_a268;
4600     ddp_is_line_rec.vehicle_response_code := p0_a269;
4601     ddp_is_line_rec.custom_column1 := p0_a270;
4602     ddp_is_line_rec.custom_column2 := p0_a271;
4603     ddp_is_line_rec.custom_column3 := p0_a272;
4604     ddp_is_line_rec.custom_column4 := p0_a273;
4605     ddp_is_line_rec.custom_column5 := p0_a274;
4606     ddp_is_line_rec.custom_column6 := p0_a275;
4607     ddp_is_line_rec.custom_column7 := p0_a276;
4608     ddp_is_line_rec.custom_column8 := p0_a277;
4609     ddp_is_line_rec.custom_column9 := p0_a278;
4610     ddp_is_line_rec.custom_column10 := p0_a279;
4611     ddp_is_line_rec.custom_column11 := p0_a280;
4612     ddp_is_line_rec.custom_column12 := p0_a281;
4613     ddp_is_line_rec.custom_column13 := p0_a282;
4614     ddp_is_line_rec.custom_column14 := p0_a283;
4615     ddp_is_line_rec.custom_column15 := p0_a284;
4616     ddp_is_line_rec.custom_column16 := p0_a285;
4620     ddp_is_line_rec.custom_column20 := p0_a289;
4617     ddp_is_line_rec.custom_column17 := p0_a286;
4618     ddp_is_line_rec.custom_column18 := p0_a287;
4619     ddp_is_line_rec.custom_column19 := p0_a288;
4621     ddp_is_line_rec.custom_column21 := p0_a290;
4622     ddp_is_line_rec.custom_column22 := p0_a291;
4623     ddp_is_line_rec.custom_column23 := p0_a292;
4624     ddp_is_line_rec.custom_column24 := p0_a293;
4625     ddp_is_line_rec.custom_column25 := p0_a294;
4626 
4627 
4628 
4629     -- here's the delegated call to the old PL/SQL routine
4630     ams_is_line_pvt.check_is_line_items(ddp_is_line_rec,
4631       p_validation_mode,
4632       x_return_status);
4633 
4634     -- copy data back from the local variables to OUT or IN-OUT args, if any
4635 
4636 
4637   end;
4638 
4639   procedure validate_is_line_rec(p_api_version_number  NUMBER
4640     , p_init_msg_list  VARCHAR2
4641     , x_return_status out nocopy  VARCHAR2
4642     , x_msg_count out nocopy  NUMBER
4643     , x_msg_data out nocopy  VARCHAR2
4644     , p5_a0  NUMBER := 0-1962.0724
4645     , p5_a1  NUMBER := 0-1962.0724
4646     , p5_a2  DATE := fnd_api.g_miss_date
4647     , p5_a3  NUMBER := 0-1962.0724
4648     , p5_a4  DATE := fnd_api.g_miss_date
4649     , p5_a5  NUMBER := 0-1962.0724
4650     , p5_a6  NUMBER := 0-1962.0724
4651     , p5_a7  NUMBER := 0-1962.0724
4652     , p5_a8  VARCHAR2 := fnd_api.g_miss_char
4653     , p5_a9  VARCHAR2 := fnd_api.g_miss_char
4654     , p5_a10  VARCHAR2 := fnd_api.g_miss_char
4655     , p5_a11  DATE := fnd_api.g_miss_date
4656     , p5_a12  NUMBER := 0-1962.0724
4657     , p5_a13  VARCHAR2 := fnd_api.g_miss_char
4658     , p5_a14  VARCHAR2 := fnd_api.g_miss_char
4659     , p5_a15  VARCHAR2 := fnd_api.g_miss_char
4660     , p5_a16  VARCHAR2 := fnd_api.g_miss_char
4661     , p5_a17  VARCHAR2 := fnd_api.g_miss_char
4662     , p5_a18  VARCHAR2 := fnd_api.g_miss_char
4663     , p5_a19  VARCHAR2 := fnd_api.g_miss_char
4664     , p5_a20  VARCHAR2 := fnd_api.g_miss_char
4665     , p5_a21  VARCHAR2 := fnd_api.g_miss_char
4666     , p5_a22  VARCHAR2 := fnd_api.g_miss_char
4667     , p5_a23  VARCHAR2 := fnd_api.g_miss_char
4668     , p5_a24  VARCHAR2 := fnd_api.g_miss_char
4669     , p5_a25  VARCHAR2 := fnd_api.g_miss_char
4670     , p5_a26  VARCHAR2 := fnd_api.g_miss_char
4671     , p5_a27  VARCHAR2 := fnd_api.g_miss_char
4672     , p5_a28  VARCHAR2 := fnd_api.g_miss_char
4673     , p5_a29  VARCHAR2 := fnd_api.g_miss_char
4674     , p5_a30  VARCHAR2 := fnd_api.g_miss_char
4675     , p5_a31  VARCHAR2 := fnd_api.g_miss_char
4676     , p5_a32  VARCHAR2 := fnd_api.g_miss_char
4677     , p5_a33  VARCHAR2 := fnd_api.g_miss_char
4678     , p5_a34  VARCHAR2 := fnd_api.g_miss_char
4679     , p5_a35  VARCHAR2 := fnd_api.g_miss_char
4680     , p5_a36  VARCHAR2 := fnd_api.g_miss_char
4681     , p5_a37  VARCHAR2 := fnd_api.g_miss_char
4682     , p5_a38  VARCHAR2 := fnd_api.g_miss_char
4683     , p5_a39  VARCHAR2 := fnd_api.g_miss_char
4684     , p5_a40  VARCHAR2 := fnd_api.g_miss_char
4685     , p5_a41  VARCHAR2 := fnd_api.g_miss_char
4686     , p5_a42  VARCHAR2 := fnd_api.g_miss_char
4687     , p5_a43  VARCHAR2 := fnd_api.g_miss_char
4688     , p5_a44  VARCHAR2 := fnd_api.g_miss_char
4689     , p5_a45  VARCHAR2 := fnd_api.g_miss_char
4690     , p5_a46  VARCHAR2 := fnd_api.g_miss_char
4691     , p5_a47  VARCHAR2 := fnd_api.g_miss_char
4692     , p5_a48  VARCHAR2 := fnd_api.g_miss_char
4693     , p5_a49  VARCHAR2 := fnd_api.g_miss_char
4694     , p5_a50  VARCHAR2 := fnd_api.g_miss_char
4695     , p5_a51  VARCHAR2 := fnd_api.g_miss_char
4696     , p5_a52  VARCHAR2 := fnd_api.g_miss_char
4697     , p5_a53  VARCHAR2 := fnd_api.g_miss_char
4698     , p5_a54  VARCHAR2 := fnd_api.g_miss_char
4699     , p5_a55  VARCHAR2 := fnd_api.g_miss_char
4700     , p5_a56  VARCHAR2 := fnd_api.g_miss_char
4701     , p5_a57  VARCHAR2 := fnd_api.g_miss_char
4702     , p5_a58  VARCHAR2 := fnd_api.g_miss_char
4703     , p5_a59  VARCHAR2 := fnd_api.g_miss_char
4704     , p5_a60  VARCHAR2 := fnd_api.g_miss_char
4705     , p5_a61  VARCHAR2 := fnd_api.g_miss_char
4706     , p5_a62  VARCHAR2 := fnd_api.g_miss_char
4707     , p5_a63  VARCHAR2 := fnd_api.g_miss_char
4708     , p5_a64  VARCHAR2 := fnd_api.g_miss_char
4709     , p5_a65  VARCHAR2 := fnd_api.g_miss_char
4710     , p5_a66  VARCHAR2 := fnd_api.g_miss_char
4711     , p5_a67  VARCHAR2 := fnd_api.g_miss_char
4712     , p5_a68  VARCHAR2 := fnd_api.g_miss_char
4713     , p5_a69  VARCHAR2 := fnd_api.g_miss_char
4714     , p5_a70  VARCHAR2 := fnd_api.g_miss_char
4715     , p5_a71  VARCHAR2 := fnd_api.g_miss_char
4716     , p5_a72  VARCHAR2 := fnd_api.g_miss_char
4717     , p5_a73  VARCHAR2 := fnd_api.g_miss_char
4718     , p5_a74  VARCHAR2 := fnd_api.g_miss_char
4719     , p5_a75  VARCHAR2 := fnd_api.g_miss_char
4720     , p5_a76  VARCHAR2 := fnd_api.g_miss_char
4721     , p5_a77  VARCHAR2 := fnd_api.g_miss_char
4722     , p5_a78  VARCHAR2 := fnd_api.g_miss_char
4723     , p5_a79  VARCHAR2 := fnd_api.g_miss_char
4724     , p5_a80  VARCHAR2 := fnd_api.g_miss_char
4725     , p5_a81  VARCHAR2 := fnd_api.g_miss_char
4726     , p5_a82  VARCHAR2 := fnd_api.g_miss_char
4727     , p5_a83  VARCHAR2 := fnd_api.g_miss_char
4728     , p5_a84  VARCHAR2 := fnd_api.g_miss_char
4729     , p5_a85  VARCHAR2 := fnd_api.g_miss_char
4730     , p5_a86  VARCHAR2 := fnd_api.g_miss_char
4731     , p5_a87  VARCHAR2 := fnd_api.g_miss_char
4732     , p5_a88  VARCHAR2 := fnd_api.g_miss_char
4733     , p5_a89  VARCHAR2 := fnd_api.g_miss_char
4734     , p5_a90  VARCHAR2 := fnd_api.g_miss_char
4735     , p5_a91  VARCHAR2 := fnd_api.g_miss_char
4739     , p5_a95  VARCHAR2 := fnd_api.g_miss_char
4736     , p5_a92  VARCHAR2 := fnd_api.g_miss_char
4737     , p5_a93  VARCHAR2 := fnd_api.g_miss_char
4738     , p5_a94  VARCHAR2 := fnd_api.g_miss_char
4740     , p5_a96  VARCHAR2 := fnd_api.g_miss_char
4741     , p5_a97  VARCHAR2 := fnd_api.g_miss_char
4742     , p5_a98  VARCHAR2 := fnd_api.g_miss_char
4743     , p5_a99  VARCHAR2 := fnd_api.g_miss_char
4744     , p5_a100  VARCHAR2 := fnd_api.g_miss_char
4745     , p5_a101  VARCHAR2 := fnd_api.g_miss_char
4746     , p5_a102  VARCHAR2 := fnd_api.g_miss_char
4747     , p5_a103  VARCHAR2 := fnd_api.g_miss_char
4748     , p5_a104  VARCHAR2 := fnd_api.g_miss_char
4749     , p5_a105  VARCHAR2 := fnd_api.g_miss_char
4750     , p5_a106  VARCHAR2 := fnd_api.g_miss_char
4751     , p5_a107  VARCHAR2 := fnd_api.g_miss_char
4752     , p5_a108  VARCHAR2 := fnd_api.g_miss_char
4753     , p5_a109  VARCHAR2 := fnd_api.g_miss_char
4754     , p5_a110  VARCHAR2 := fnd_api.g_miss_char
4755     , p5_a111  VARCHAR2 := fnd_api.g_miss_char
4756     , p5_a112  VARCHAR2 := fnd_api.g_miss_char
4757     , p5_a113  VARCHAR2 := fnd_api.g_miss_char
4758     , p5_a114  VARCHAR2 := fnd_api.g_miss_char
4759     , p5_a115  VARCHAR2 := fnd_api.g_miss_char
4760     , p5_a116  VARCHAR2 := fnd_api.g_miss_char
4761     , p5_a117  VARCHAR2 := fnd_api.g_miss_char
4762     , p5_a118  VARCHAR2 := fnd_api.g_miss_char
4763     , p5_a119  VARCHAR2 := fnd_api.g_miss_char
4764     , p5_a120  VARCHAR2 := fnd_api.g_miss_char
4765     , p5_a121  VARCHAR2 := fnd_api.g_miss_char
4766     , p5_a122  VARCHAR2 := fnd_api.g_miss_char
4767     , p5_a123  VARCHAR2 := fnd_api.g_miss_char
4768     , p5_a124  VARCHAR2 := fnd_api.g_miss_char
4769     , p5_a125  VARCHAR2 := fnd_api.g_miss_char
4770     , p5_a126  VARCHAR2 := fnd_api.g_miss_char
4771     , p5_a127  VARCHAR2 := fnd_api.g_miss_char
4772     , p5_a128  VARCHAR2 := fnd_api.g_miss_char
4773     , p5_a129  VARCHAR2 := fnd_api.g_miss_char
4774     , p5_a130  VARCHAR2 := fnd_api.g_miss_char
4775     , p5_a131  VARCHAR2 := fnd_api.g_miss_char
4776     , p5_a132  VARCHAR2 := fnd_api.g_miss_char
4777     , p5_a133  VARCHAR2 := fnd_api.g_miss_char
4778     , p5_a134  VARCHAR2 := fnd_api.g_miss_char
4779     , p5_a135  VARCHAR2 := fnd_api.g_miss_char
4780     , p5_a136  VARCHAR2 := fnd_api.g_miss_char
4781     , p5_a137  VARCHAR2 := fnd_api.g_miss_char
4782     , p5_a138  VARCHAR2 := fnd_api.g_miss_char
4783     , p5_a139  VARCHAR2 := fnd_api.g_miss_char
4784     , p5_a140  VARCHAR2 := fnd_api.g_miss_char
4785     , p5_a141  VARCHAR2 := fnd_api.g_miss_char
4786     , p5_a142  VARCHAR2 := fnd_api.g_miss_char
4787     , p5_a143  VARCHAR2 := fnd_api.g_miss_char
4788     , p5_a144  VARCHAR2 := fnd_api.g_miss_char
4789     , p5_a145  VARCHAR2 := fnd_api.g_miss_char
4790     , p5_a146  VARCHAR2 := fnd_api.g_miss_char
4791     , p5_a147  VARCHAR2 := fnd_api.g_miss_char
4792     , p5_a148  VARCHAR2 := fnd_api.g_miss_char
4793     , p5_a149  VARCHAR2 := fnd_api.g_miss_char
4794     , p5_a150  VARCHAR2 := fnd_api.g_miss_char
4795     , p5_a151  VARCHAR2 := fnd_api.g_miss_char
4796     , p5_a152  VARCHAR2 := fnd_api.g_miss_char
4797     , p5_a153  VARCHAR2 := fnd_api.g_miss_char
4798     , p5_a154  VARCHAR2 := fnd_api.g_miss_char
4799     , p5_a155  VARCHAR2 := fnd_api.g_miss_char
4800     , p5_a156  VARCHAR2 := fnd_api.g_miss_char
4801     , p5_a157  VARCHAR2 := fnd_api.g_miss_char
4802     , p5_a158  VARCHAR2 := fnd_api.g_miss_char
4803     , p5_a159  VARCHAR2 := fnd_api.g_miss_char
4804     , p5_a160  VARCHAR2 := fnd_api.g_miss_char
4805     , p5_a161  VARCHAR2 := fnd_api.g_miss_char
4806     , p5_a162  VARCHAR2 := fnd_api.g_miss_char
4807     , p5_a163  VARCHAR2 := fnd_api.g_miss_char
4808     , p5_a164  VARCHAR2 := fnd_api.g_miss_char
4809     , p5_a165  VARCHAR2 := fnd_api.g_miss_char
4810     , p5_a166  VARCHAR2 := fnd_api.g_miss_char
4811     , p5_a167  VARCHAR2 := fnd_api.g_miss_char
4812     , p5_a168  VARCHAR2 := fnd_api.g_miss_char
4813     , p5_a169  VARCHAR2 := fnd_api.g_miss_char
4814     , p5_a170  VARCHAR2 := fnd_api.g_miss_char
4815     , p5_a171  VARCHAR2 := fnd_api.g_miss_char
4816     , p5_a172  VARCHAR2 := fnd_api.g_miss_char
4817     , p5_a173  VARCHAR2 := fnd_api.g_miss_char
4818     , p5_a174  VARCHAR2 := fnd_api.g_miss_char
4819     , p5_a175  VARCHAR2 := fnd_api.g_miss_char
4820     , p5_a176  VARCHAR2 := fnd_api.g_miss_char
4821     , p5_a177  VARCHAR2 := fnd_api.g_miss_char
4822     , p5_a178  VARCHAR2 := fnd_api.g_miss_char
4823     , p5_a179  VARCHAR2 := fnd_api.g_miss_char
4824     , p5_a180  VARCHAR2 := fnd_api.g_miss_char
4825     , p5_a181  VARCHAR2 := fnd_api.g_miss_char
4826     , p5_a182  VARCHAR2 := fnd_api.g_miss_char
4827     , p5_a183  VARCHAR2 := fnd_api.g_miss_char
4828     , p5_a184  VARCHAR2 := fnd_api.g_miss_char
4829     , p5_a185  VARCHAR2 := fnd_api.g_miss_char
4830     , p5_a186  VARCHAR2 := fnd_api.g_miss_char
4831     , p5_a187  VARCHAR2 := fnd_api.g_miss_char
4832     , p5_a188  VARCHAR2 := fnd_api.g_miss_char
4833     , p5_a189  VARCHAR2 := fnd_api.g_miss_char
4834     , p5_a190  VARCHAR2 := fnd_api.g_miss_char
4835     , p5_a191  VARCHAR2 := fnd_api.g_miss_char
4836     , p5_a192  VARCHAR2 := fnd_api.g_miss_char
4837     , p5_a193  VARCHAR2 := fnd_api.g_miss_char
4838     , p5_a194  VARCHAR2 := fnd_api.g_miss_char
4839     , p5_a195  VARCHAR2 := fnd_api.g_miss_char
4840     , p5_a196  VARCHAR2 := fnd_api.g_miss_char
4841     , p5_a197  VARCHAR2 := fnd_api.g_miss_char
4842     , p5_a198  VARCHAR2 := fnd_api.g_miss_char
4843     , p5_a199  VARCHAR2 := fnd_api.g_miss_char
4844     , p5_a200  VARCHAR2 := fnd_api.g_miss_char
4845     , p5_a201  VARCHAR2 := fnd_api.g_miss_char
4849     , p5_a205  VARCHAR2 := fnd_api.g_miss_char
4846     , p5_a202  VARCHAR2 := fnd_api.g_miss_char
4847     , p5_a203  VARCHAR2 := fnd_api.g_miss_char
4848     , p5_a204  VARCHAR2 := fnd_api.g_miss_char
4850     , p5_a206  VARCHAR2 := fnd_api.g_miss_char
4851     , p5_a207  VARCHAR2 := fnd_api.g_miss_char
4852     , p5_a208  VARCHAR2 := fnd_api.g_miss_char
4853     , p5_a209  VARCHAR2 := fnd_api.g_miss_char
4854     , p5_a210  VARCHAR2 := fnd_api.g_miss_char
4855     , p5_a211  VARCHAR2 := fnd_api.g_miss_char
4856     , p5_a212  VARCHAR2 := fnd_api.g_miss_char
4857     , p5_a213  VARCHAR2 := fnd_api.g_miss_char
4858     , p5_a214  VARCHAR2 := fnd_api.g_miss_char
4859     , p5_a215  VARCHAR2 := fnd_api.g_miss_char
4860     , p5_a216  VARCHAR2 := fnd_api.g_miss_char
4861     , p5_a217  VARCHAR2 := fnd_api.g_miss_char
4862     , p5_a218  VARCHAR2 := fnd_api.g_miss_char
4863     , p5_a219  VARCHAR2 := fnd_api.g_miss_char
4864     , p5_a220  VARCHAR2 := fnd_api.g_miss_char
4865     , p5_a221  VARCHAR2 := fnd_api.g_miss_char
4866     , p5_a222  VARCHAR2 := fnd_api.g_miss_char
4867     , p5_a223  VARCHAR2 := fnd_api.g_miss_char
4868     , p5_a224  VARCHAR2 := fnd_api.g_miss_char
4869     , p5_a225  VARCHAR2 := fnd_api.g_miss_char
4870     , p5_a226  VARCHAR2 := fnd_api.g_miss_char
4871     , p5_a227  VARCHAR2 := fnd_api.g_miss_char
4872     , p5_a228  VARCHAR2 := fnd_api.g_miss_char
4873     , p5_a229  VARCHAR2 := fnd_api.g_miss_char
4874     , p5_a230  VARCHAR2 := fnd_api.g_miss_char
4875     , p5_a231  VARCHAR2 := fnd_api.g_miss_char
4876     , p5_a232  VARCHAR2 := fnd_api.g_miss_char
4877     , p5_a233  VARCHAR2 := fnd_api.g_miss_char
4878     , p5_a234  VARCHAR2 := fnd_api.g_miss_char
4879     , p5_a235  VARCHAR2 := fnd_api.g_miss_char
4880     , p5_a236  VARCHAR2 := fnd_api.g_miss_char
4881     , p5_a237  VARCHAR2 := fnd_api.g_miss_char
4882     , p5_a238  VARCHAR2 := fnd_api.g_miss_char
4883     , p5_a239  VARCHAR2 := fnd_api.g_miss_char
4884     , p5_a240  VARCHAR2 := fnd_api.g_miss_char
4885     , p5_a241  VARCHAR2 := fnd_api.g_miss_char
4886     , p5_a242  VARCHAR2 := fnd_api.g_miss_char
4887     , p5_a243  VARCHAR2 := fnd_api.g_miss_char
4888     , p5_a244  VARCHAR2 := fnd_api.g_miss_char
4889     , p5_a245  VARCHAR2 := fnd_api.g_miss_char
4890     , p5_a246  VARCHAR2 := fnd_api.g_miss_char
4891     , p5_a247  VARCHAR2 := fnd_api.g_miss_char
4892     , p5_a248  VARCHAR2 := fnd_api.g_miss_char
4893     , p5_a249  VARCHAR2 := fnd_api.g_miss_char
4894     , p5_a250  VARCHAR2 := fnd_api.g_miss_char
4895     , p5_a251  VARCHAR2 := fnd_api.g_miss_char
4896     , p5_a252  VARCHAR2 := fnd_api.g_miss_char
4897     , p5_a253  VARCHAR2 := fnd_api.g_miss_char
4898     , p5_a254  VARCHAR2 := fnd_api.g_miss_char
4899     , p5_a255  VARCHAR2 := fnd_api.g_miss_char
4900     , p5_a256  VARCHAR2 := fnd_api.g_miss_char
4901     , p5_a257  VARCHAR2 := fnd_api.g_miss_char
4902     , p5_a258  VARCHAR2 := fnd_api.g_miss_char
4903     , p5_a259  VARCHAR2 := fnd_api.g_miss_char
4904     , p5_a260  VARCHAR2 := fnd_api.g_miss_char
4905     , p5_a261  VARCHAR2 := fnd_api.g_miss_char
4906     , p5_a262  VARCHAR2 := fnd_api.g_miss_char
4907     , p5_a263  VARCHAR2 := fnd_api.g_miss_char
4908     , p5_a264  VARCHAR2 := fnd_api.g_miss_char
4909     , p5_a265  NUMBER := 0-1962.0724
4910     , p5_a266  VARCHAR2 := fnd_api.g_miss_char
4911     , p5_a267  VARCHAR2 := fnd_api.g_miss_char
4912     , p5_a268  VARCHAR2 := fnd_api.g_miss_char
4913     , p5_a269  VARCHAR2 := fnd_api.g_miss_char
4914     , p5_a270  VARCHAR2 := fnd_api.g_miss_char
4915     , p5_a271  VARCHAR2 := fnd_api.g_miss_char
4916     , p5_a272  VARCHAR2 := fnd_api.g_miss_char
4917     , p5_a273  VARCHAR2 := fnd_api.g_miss_char
4918     , p5_a274  VARCHAR2 := fnd_api.g_miss_char
4919     , p5_a275  VARCHAR2 := fnd_api.g_miss_char
4920     , p5_a276  VARCHAR2 := fnd_api.g_miss_char
4921     , p5_a277  VARCHAR2 := fnd_api.g_miss_char
4922     , p5_a278  VARCHAR2 := fnd_api.g_miss_char
4923     , p5_a279  VARCHAR2 := fnd_api.g_miss_char
4924     , p5_a280  VARCHAR2 := fnd_api.g_miss_char
4925     , p5_a281  VARCHAR2 := fnd_api.g_miss_char
4926     , p5_a282  VARCHAR2 := fnd_api.g_miss_char
4927     , p5_a283  VARCHAR2 := fnd_api.g_miss_char
4928     , p5_a284  VARCHAR2 := fnd_api.g_miss_char
4929     , p5_a285  VARCHAR2 := fnd_api.g_miss_char
4930     , p5_a286  VARCHAR2 := fnd_api.g_miss_char
4931     , p5_a287  VARCHAR2 := fnd_api.g_miss_char
4932     , p5_a288  VARCHAR2 := fnd_api.g_miss_char
4933     , p5_a289  VARCHAR2 := fnd_api.g_miss_char
4934     , p5_a290  VARCHAR2 := fnd_api.g_miss_char
4935     , p5_a291  VARCHAR2 := fnd_api.g_miss_char
4936     , p5_a292  VARCHAR2 := fnd_api.g_miss_char
4937     , p5_a293  VARCHAR2 := fnd_api.g_miss_char
4938     , p5_a294  VARCHAR2 := fnd_api.g_miss_char
4939   )
4940 
4941   as
4942     ddp_is_line_rec ams_is_line_pvt.is_line_rec_type;
4943     ddindx binary_integer; indx binary_integer;
4944   begin
4945 
4946     -- copy data to the local IN or IN-OUT args, if any
4947 
4948 
4949 
4950 
4951 
4952     ddp_is_line_rec.import_source_line_id := rosetta_g_miss_num_map(p5_a0);
4953     ddp_is_line_rec.object_version_number := rosetta_g_miss_num_map(p5_a1);
4954     ddp_is_line_rec.last_update_date := rosetta_g_miss_date_in_map(p5_a2);
4955     ddp_is_line_rec.last_updated_by := rosetta_g_miss_num_map(p5_a3);
4956     ddp_is_line_rec.creation_date := rosetta_g_miss_date_in_map(p5_a4);
4957     ddp_is_line_rec.created_by := rosetta_g_miss_num_map(p5_a5);
4958     ddp_is_line_rec.last_update_login := rosetta_g_miss_num_map(p5_a6);
4962     ddp_is_line_rec.import_failure_reason := p5_a10;
4959     ddp_is_line_rec.import_list_header_id := rosetta_g_miss_num_map(p5_a7);
4960     ddp_is_line_rec.import_successful_flag := p5_a8;
4961     ddp_is_line_rec.enabled_flag := p5_a9;
4963     ddp_is_line_rec.re_import_last_done_date := rosetta_g_miss_date_in_map(p5_a11);
4964     ddp_is_line_rec.party_id := rosetta_g_miss_num_map(p5_a12);
4965     ddp_is_line_rec.dedupe_key := p5_a13;
4966     ddp_is_line_rec.col1 := p5_a14;
4967     ddp_is_line_rec.col2 := p5_a15;
4968     ddp_is_line_rec.col3 := p5_a16;
4969     ddp_is_line_rec.col4 := p5_a17;
4970     ddp_is_line_rec.col5 := p5_a18;
4971     ddp_is_line_rec.col6 := p5_a19;
4972     ddp_is_line_rec.col7 := p5_a20;
4973     ddp_is_line_rec.col8 := p5_a21;
4974     ddp_is_line_rec.col9 := p5_a22;
4975     ddp_is_line_rec.col10 := p5_a23;
4976     ddp_is_line_rec.col11 := p5_a24;
4977     ddp_is_line_rec.col12 := p5_a25;
4978     ddp_is_line_rec.col13 := p5_a26;
4979     ddp_is_line_rec.col14 := p5_a27;
4980     ddp_is_line_rec.col15 := p5_a28;
4981     ddp_is_line_rec.col16 := p5_a29;
4982     ddp_is_line_rec.col17 := p5_a30;
4983     ddp_is_line_rec.col18 := p5_a31;
4984     ddp_is_line_rec.col19 := p5_a32;
4985     ddp_is_line_rec.col20 := p5_a33;
4986     ddp_is_line_rec.col21 := p5_a34;
4987     ddp_is_line_rec.col22 := p5_a35;
4988     ddp_is_line_rec.col23 := p5_a36;
4989     ddp_is_line_rec.col24 := p5_a37;
4990     ddp_is_line_rec.col25 := p5_a38;
4991     ddp_is_line_rec.col26 := p5_a39;
4992     ddp_is_line_rec.col27 := p5_a40;
4993     ddp_is_line_rec.col28 := p5_a41;
4994     ddp_is_line_rec.col29 := p5_a42;
4995     ddp_is_line_rec.col30 := p5_a43;
4996     ddp_is_line_rec.col31 := p5_a44;
4997     ddp_is_line_rec.col32 := p5_a45;
4998     ddp_is_line_rec.col33 := p5_a46;
4999     ddp_is_line_rec.col34 := p5_a47;
5000     ddp_is_line_rec.col35 := p5_a48;
5001     ddp_is_line_rec.col36 := p5_a49;
5002     ddp_is_line_rec.col37 := p5_a50;
5003     ddp_is_line_rec.col38 := p5_a51;
5004     ddp_is_line_rec.col39 := p5_a52;
5005     ddp_is_line_rec.col40 := p5_a53;
5006     ddp_is_line_rec.col41 := p5_a54;
5007     ddp_is_line_rec.col42 := p5_a55;
5008     ddp_is_line_rec.col43 := p5_a56;
5009     ddp_is_line_rec.col44 := p5_a57;
5010     ddp_is_line_rec.col45 := p5_a58;
5011     ddp_is_line_rec.col46 := p5_a59;
5012     ddp_is_line_rec.col47 := p5_a60;
5013     ddp_is_line_rec.col48 := p5_a61;
5014     ddp_is_line_rec.col49 := p5_a62;
5015     ddp_is_line_rec.col50 := p5_a63;
5016     ddp_is_line_rec.col51 := p5_a64;
5017     ddp_is_line_rec.col52 := p5_a65;
5018     ddp_is_line_rec.col53 := p5_a66;
5019     ddp_is_line_rec.col54 := p5_a67;
5020     ddp_is_line_rec.col55 := p5_a68;
5021     ddp_is_line_rec.col56 := p5_a69;
5022     ddp_is_line_rec.col57 := p5_a70;
5023     ddp_is_line_rec.col58 := p5_a71;
5024     ddp_is_line_rec.col59 := p5_a72;
5025     ddp_is_line_rec.col60 := p5_a73;
5026     ddp_is_line_rec.col61 := p5_a74;
5027     ddp_is_line_rec.col62 := p5_a75;
5028     ddp_is_line_rec.col63 := p5_a76;
5029     ddp_is_line_rec.col64 := p5_a77;
5030     ddp_is_line_rec.col65 := p5_a78;
5031     ddp_is_line_rec.col66 := p5_a79;
5032     ddp_is_line_rec.col67 := p5_a80;
5033     ddp_is_line_rec.col68 := p5_a81;
5034     ddp_is_line_rec.col69 := p5_a82;
5035     ddp_is_line_rec.col70 := p5_a83;
5036     ddp_is_line_rec.col71 := p5_a84;
5037     ddp_is_line_rec.col72 := p5_a85;
5038     ddp_is_line_rec.col73 := p5_a86;
5039     ddp_is_line_rec.col74 := p5_a87;
5040     ddp_is_line_rec.col75 := p5_a88;
5041     ddp_is_line_rec.col76 := p5_a89;
5042     ddp_is_line_rec.col77 := p5_a90;
5043     ddp_is_line_rec.col78 := p5_a91;
5044     ddp_is_line_rec.col79 := p5_a92;
5045     ddp_is_line_rec.col80 := p5_a93;
5046     ddp_is_line_rec.col81 := p5_a94;
5047     ddp_is_line_rec.col82 := p5_a95;
5048     ddp_is_line_rec.col83 := p5_a96;
5049     ddp_is_line_rec.col84 := p5_a97;
5050     ddp_is_line_rec.col85 := p5_a98;
5051     ddp_is_line_rec.col86 := p5_a99;
5052     ddp_is_line_rec.col87 := p5_a100;
5053     ddp_is_line_rec.col88 := p5_a101;
5054     ddp_is_line_rec.col89 := p5_a102;
5055     ddp_is_line_rec.col90 := p5_a103;
5056     ddp_is_line_rec.col91 := p5_a104;
5057     ddp_is_line_rec.col92 := p5_a105;
5058     ddp_is_line_rec.col93 := p5_a106;
5059     ddp_is_line_rec.col94 := p5_a107;
5060     ddp_is_line_rec.col95 := p5_a108;
5061     ddp_is_line_rec.col96 := p5_a109;
5062     ddp_is_line_rec.col97 := p5_a110;
5063     ddp_is_line_rec.col98 := p5_a111;
5064     ddp_is_line_rec.col99 := p5_a112;
5065     ddp_is_line_rec.col100 := p5_a113;
5066     ddp_is_line_rec.col101 := p5_a114;
5067     ddp_is_line_rec.col102 := p5_a115;
5068     ddp_is_line_rec.col103 := p5_a116;
5069     ddp_is_line_rec.col104 := p5_a117;
5070     ddp_is_line_rec.col105 := p5_a118;
5071     ddp_is_line_rec.col106 := p5_a119;
5072     ddp_is_line_rec.col107 := p5_a120;
5073     ddp_is_line_rec.col108 := p5_a121;
5074     ddp_is_line_rec.col109 := p5_a122;
5075     ddp_is_line_rec.col110 := p5_a123;
5076     ddp_is_line_rec.col111 := p5_a124;
5077     ddp_is_line_rec.col112 := p5_a125;
5078     ddp_is_line_rec.col113 := p5_a126;
5079     ddp_is_line_rec.col114 := p5_a127;
5080     ddp_is_line_rec.col115 := p5_a128;
5081     ddp_is_line_rec.col116 := p5_a129;
5082     ddp_is_line_rec.col117 := p5_a130;
5083     ddp_is_line_rec.col118 := p5_a131;
5087     ddp_is_line_rec.col122 := p5_a135;
5084     ddp_is_line_rec.col119 := p5_a132;
5085     ddp_is_line_rec.col120 := p5_a133;
5086     ddp_is_line_rec.col121 := p5_a134;
5088     ddp_is_line_rec.col123 := p5_a136;
5089     ddp_is_line_rec.col124 := p5_a137;
5090     ddp_is_line_rec.col125 := p5_a138;
5091     ddp_is_line_rec.col126 := p5_a139;
5092     ddp_is_line_rec.col127 := p5_a140;
5093     ddp_is_line_rec.col128 := p5_a141;
5094     ddp_is_line_rec.col129 := p5_a142;
5095     ddp_is_line_rec.col130 := p5_a143;
5096     ddp_is_line_rec.col131 := p5_a144;
5097     ddp_is_line_rec.col132 := p5_a145;
5098     ddp_is_line_rec.col133 := p5_a146;
5099     ddp_is_line_rec.col134 := p5_a147;
5100     ddp_is_line_rec.col135 := p5_a148;
5101     ddp_is_line_rec.col136 := p5_a149;
5102     ddp_is_line_rec.col137 := p5_a150;
5103     ddp_is_line_rec.col138 := p5_a151;
5104     ddp_is_line_rec.col139 := p5_a152;
5105     ddp_is_line_rec.col140 := p5_a153;
5106     ddp_is_line_rec.col141 := p5_a154;
5107     ddp_is_line_rec.col142 := p5_a155;
5108     ddp_is_line_rec.col143 := p5_a156;
5109     ddp_is_line_rec.col144 := p5_a157;
5110     ddp_is_line_rec.col145 := p5_a158;
5111     ddp_is_line_rec.col146 := p5_a159;
5112     ddp_is_line_rec.col147 := p5_a160;
5113     ddp_is_line_rec.col148 := p5_a161;
5114     ddp_is_line_rec.col149 := p5_a162;
5115     ddp_is_line_rec.col150 := p5_a163;
5116     ddp_is_line_rec.col151 := p5_a164;
5117     ddp_is_line_rec.col152 := p5_a165;
5118     ddp_is_line_rec.col153 := p5_a166;
5119     ddp_is_line_rec.col154 := p5_a167;
5120     ddp_is_line_rec.col155 := p5_a168;
5121     ddp_is_line_rec.col156 := p5_a169;
5122     ddp_is_line_rec.col157 := p5_a170;
5123     ddp_is_line_rec.col158 := p5_a171;
5124     ddp_is_line_rec.col159 := p5_a172;
5125     ddp_is_line_rec.col160 := p5_a173;
5126     ddp_is_line_rec.col161 := p5_a174;
5127     ddp_is_line_rec.col162 := p5_a175;
5128     ddp_is_line_rec.col163 := p5_a176;
5129     ddp_is_line_rec.col164 := p5_a177;
5130     ddp_is_line_rec.col165 := p5_a178;
5131     ddp_is_line_rec.col166 := p5_a179;
5132     ddp_is_line_rec.col167 := p5_a180;
5133     ddp_is_line_rec.col168 := p5_a181;
5134     ddp_is_line_rec.col169 := p5_a182;
5135     ddp_is_line_rec.col170 := p5_a183;
5136     ddp_is_line_rec.col171 := p5_a184;
5137     ddp_is_line_rec.col172 := p5_a185;
5138     ddp_is_line_rec.col173 := p5_a186;
5139     ddp_is_line_rec.col174 := p5_a187;
5140     ddp_is_line_rec.col175 := p5_a188;
5141     ddp_is_line_rec.col176 := p5_a189;
5142     ddp_is_line_rec.col177 := p5_a190;
5143     ddp_is_line_rec.col178 := p5_a191;
5144     ddp_is_line_rec.col179 := p5_a192;
5145     ddp_is_line_rec.col180 := p5_a193;
5146     ddp_is_line_rec.col181 := p5_a194;
5147     ddp_is_line_rec.col182 := p5_a195;
5148     ddp_is_line_rec.col183 := p5_a196;
5149     ddp_is_line_rec.col184 := p5_a197;
5150     ddp_is_line_rec.col185 := p5_a198;
5151     ddp_is_line_rec.col186 := p5_a199;
5152     ddp_is_line_rec.col187 := p5_a200;
5153     ddp_is_line_rec.col188 := p5_a201;
5154     ddp_is_line_rec.col189 := p5_a202;
5155     ddp_is_line_rec.col190 := p5_a203;
5156     ddp_is_line_rec.col191 := p5_a204;
5157     ddp_is_line_rec.col192 := p5_a205;
5158     ddp_is_line_rec.col193 := p5_a206;
5159     ddp_is_line_rec.col194 := p5_a207;
5160     ddp_is_line_rec.col195 := p5_a208;
5161     ddp_is_line_rec.col196 := p5_a209;
5162     ddp_is_line_rec.col197 := p5_a210;
5163     ddp_is_line_rec.col198 := p5_a211;
5164     ddp_is_line_rec.col199 := p5_a212;
5165     ddp_is_line_rec.col200 := p5_a213;
5166     ddp_is_line_rec.col201 := p5_a214;
5167     ddp_is_line_rec.col202 := p5_a215;
5168     ddp_is_line_rec.col203 := p5_a216;
5169     ddp_is_line_rec.col204 := p5_a217;
5170     ddp_is_line_rec.col205 := p5_a218;
5171     ddp_is_line_rec.col206 := p5_a219;
5172     ddp_is_line_rec.col207 := p5_a220;
5173     ddp_is_line_rec.col208 := p5_a221;
5174     ddp_is_line_rec.col209 := p5_a222;
5175     ddp_is_line_rec.col210 := p5_a223;
5176     ddp_is_line_rec.col211 := p5_a224;
5177     ddp_is_line_rec.col212 := p5_a225;
5178     ddp_is_line_rec.col213 := p5_a226;
5179     ddp_is_line_rec.col214 := p5_a227;
5180     ddp_is_line_rec.col215 := p5_a228;
5181     ddp_is_line_rec.col216 := p5_a229;
5182     ddp_is_line_rec.col217 := p5_a230;
5183     ddp_is_line_rec.col218 := p5_a231;
5184     ddp_is_line_rec.col219 := p5_a232;
5185     ddp_is_line_rec.col220 := p5_a233;
5186     ddp_is_line_rec.col221 := p5_a234;
5187     ddp_is_line_rec.col222 := p5_a235;
5188     ddp_is_line_rec.col223 := p5_a236;
5189     ddp_is_line_rec.col224 := p5_a237;
5190     ddp_is_line_rec.col225 := p5_a238;
5191     ddp_is_line_rec.col226 := p5_a239;
5192     ddp_is_line_rec.col227 := p5_a240;
5193     ddp_is_line_rec.col228 := p5_a241;
5194     ddp_is_line_rec.col229 := p5_a242;
5195     ddp_is_line_rec.col230 := p5_a243;
5196     ddp_is_line_rec.col231 := p5_a244;
5197     ddp_is_line_rec.col232 := p5_a245;
5198     ddp_is_line_rec.col233 := p5_a246;
5199     ddp_is_line_rec.col234 := p5_a247;
5200     ddp_is_line_rec.col235 := p5_a248;
5201     ddp_is_line_rec.col236 := p5_a249;
5202     ddp_is_line_rec.col237 := p5_a250;
5203     ddp_is_line_rec.col238 := p5_a251;
5204     ddp_is_line_rec.col239 := p5_a252;
5205     ddp_is_line_rec.col240 := p5_a253;
5206     ddp_is_line_rec.col241 := p5_a254;
5207     ddp_is_line_rec.col242 := p5_a255;
5211     ddp_is_line_rec.col246 := p5_a259;
5208     ddp_is_line_rec.col243 := p5_a256;
5209     ddp_is_line_rec.col244 := p5_a257;
5210     ddp_is_line_rec.col245 := p5_a258;
5212     ddp_is_line_rec.col247 := p5_a260;
5213     ddp_is_line_rec.col248 := p5_a261;
5214     ddp_is_line_rec.col249 := p5_a262;
5215     ddp_is_line_rec.col250 := p5_a263;
5216     ddp_is_line_rec.duplicate_flag := p5_a264;
5217     ddp_is_line_rec.current_usage := rosetta_g_miss_num_map(p5_a265);
5218     ddp_is_line_rec.load_status := p5_a266;
5219     ddp_is_line_rec.notes := p5_a267;
5220     ddp_is_line_rec.sales_agent_email_address := p5_a268;
5221     ddp_is_line_rec.vehicle_response_code := p5_a269;
5222     ddp_is_line_rec.custom_column1 := p5_a270;
5223     ddp_is_line_rec.custom_column2 := p5_a271;
5224     ddp_is_line_rec.custom_column3 := p5_a272;
5225     ddp_is_line_rec.custom_column4 := p5_a273;
5226     ddp_is_line_rec.custom_column5 := p5_a274;
5227     ddp_is_line_rec.custom_column6 := p5_a275;
5228     ddp_is_line_rec.custom_column7 := p5_a276;
5229     ddp_is_line_rec.custom_column8 := p5_a277;
5230     ddp_is_line_rec.custom_column9 := p5_a278;
5231     ddp_is_line_rec.custom_column10 := p5_a279;
5232     ddp_is_line_rec.custom_column11 := p5_a280;
5236     ddp_is_line_rec.custom_column15 := p5_a284;
5233     ddp_is_line_rec.custom_column12 := p5_a281;
5234     ddp_is_line_rec.custom_column13 := p5_a282;
5235     ddp_is_line_rec.custom_column14 := p5_a283;
5237     ddp_is_line_rec.custom_column16 := p5_a285;
5238     ddp_is_line_rec.custom_column17 := p5_a286;
5239     ddp_is_line_rec.custom_column18 := p5_a287;
5240     ddp_is_line_rec.custom_column19 := p5_a288;
5241     ddp_is_line_rec.custom_column20 := p5_a289;
5242     ddp_is_line_rec.custom_column21 := p5_a290;
5243     ddp_is_line_rec.custom_column22 := p5_a291;
5244     ddp_is_line_rec.custom_column23 := p5_a292;
5245     ddp_is_line_rec.custom_column24 := p5_a293;
5246     ddp_is_line_rec.custom_column25 := p5_a294;
5247 
5248     -- here's the delegated call to the old PL/SQL routine
5249     ams_is_line_pvt.validate_is_line_rec(p_api_version_number,
5250       p_init_msg_list,
5251       x_return_status,
5252       x_msg_count,
5253       x_msg_data,
5254       ddp_is_line_rec);
5255 
5256     -- copy data back from the local variables to OUT or IN-OUT args, if any
5257 
5258 
5259 
5260 
5261 
5262   end;
5263 
5264 end ams_is_line_pvt_w;