DBA Data[Home] [Help]

APPS.PAY_NL_WAGE_REPORT_PKG dependencies on FND_NUMBER

Line 1773: ,fnd_number.canonical_to_number(org_information4) amount

1769: ,c_payroll_type VARCHAR2) IS
1770: SELECT fnd_date.canonical_to_date(org_information1) start_date
1771: ,fnd_date.canonical_to_date(org_information2) end_date
1772: ,org_information3
1773: ,fnd_number.canonical_to_number(org_information4) amount
1774: ,org_information5 frequency
1775: ,org_information6
1776: FROM hr_organization_information
1777: WHERE organization_id = c_org_id

Line 2945: ,sum(fnd_number.canonical_to_number(action_information9)) action_information9

2941: ,c_start_date DATE
2942: ,c_end_date DATE) IS
2943: SELECT action_information7
2944: ,action_information8
2945: ,sum(fnd_number.canonical_to_number(action_information9)) action_information9
2946: ,sum(fnd_number.canonical_to_number(action_information10))action_information10
2947: FROM pay_action_information pai
2948: ,pay_payroll_actions ppa
2949: WHERE ppa.report_type = 'NL_WAGES_REP_ARCHIVE'

Line 2946: ,sum(fnd_number.canonical_to_number(action_information10))action_information10

2942: ,c_end_date DATE) IS
2943: SELECT action_information7
2944: ,action_information8
2945: ,sum(fnd_number.canonical_to_number(action_information9)) action_information9
2946: ,sum(fnd_number.canonical_to_number(action_information10))action_information10
2947: FROM pay_action_information pai
2948: ,pay_payroll_actions ppa
2949: WHERE ppa.report_type = 'NL_WAGES_REP_ARCHIVE'
2950: AND ppa.report_qualifier = 'NL'

Line 3304: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))

3300: , p_action_information5 => l_period_dates_rec.start_date
3301: , p_action_information6 => l_period_dates_rec.End_date
3302: , p_action_information7 => substr(l_sip_sector,1,3)
3303: , p_action_information8 => substr(l_risk_grp,1,2)
3304: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3305: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));
3306: l_sip_sector := l_get_sect_risk_grp.sector;
3307: l_risk_grp := l_get_sect_risk_grp.risk_group;
3308: l_emp_total := l_emp_total + l_con_mon_fd;

Line 3305: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));

3301: , p_action_information6 => l_period_dates_rec.End_date
3302: , p_action_information7 => substr(l_sip_sector,1,3)
3303: , p_action_information8 => substr(l_risk_grp,1,2)
3304: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3305: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));
3306: l_sip_sector := l_get_sect_risk_grp.sector;
3307: l_risk_grp := l_get_sect_risk_grp.risk_group;
3308: l_emp_total := l_emp_total + l_con_mon_fd;
3309: l_sector_flag := 'Y';

Line 3347: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))

3343: , p_action_information5 => l_period_dates_rec.start_date
3344: , p_action_information6 => l_period_dates_rec.End_date
3345: , p_action_information7 => substr(l_sip_sector,1,3)
3346: , p_action_information8 => substr(l_risk_grp,1,2)
3347: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3348: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));
3349: --l_sip_sector := l_get_sect_risk_grp.sector;
3350: --l_risk_grp := l_get_sect_risk_grp.risk_group;
3351: l_emp_total := l_emp_total + l_con_mon_fd;

Line 3348: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));

3344: , p_action_information6 => l_period_dates_rec.End_date
3345: , p_action_information7 => substr(l_sip_sector,1,3)
3346: , p_action_information8 => substr(l_risk_grp,1,2)
3347: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3348: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));
3349: --l_sip_sector := l_get_sect_risk_grp.sector;
3350: --l_risk_grp := l_get_sect_risk_grp.risk_group;
3351: l_emp_total := l_emp_total + l_con_mon_fd;
3352: l_sector_flag := 'Y' ;

Line 3387: , p_action_information6 => fnd_number.number_to_canonical(ROUND(NVL(l_val,collXMLTable(i).TagValue))));

3383: , p_action_information2 => collXMLTable(i).TagName
3384: , p_action_information3 => l_period_dates_rec.start_date
3385: , p_action_information4 => l_period_dates_rec.End_date
3386: , p_action_information5 => collXMLTable(i).TagDesc
3387: , p_action_information6 => fnd_number.number_to_canonical(ROUND(NVL(l_val,collXMLTable(i).TagValue))));
3388: IF collXMLTable(i).TagName = 'PrLnAWF' AND collXMLTable(i).TagValue <> 0 THEN
3389: l_awf := 'Y';
3390: END IF;
3391: END IF;

Line 3481: --Fnd_file.put_line(FND_FILE.LOG,'Prev period act_id -- CORR_BALANCE '||fnd_number.number_to_canonical(l_prev_ass_act_id));

3477: OPEN csr_get_prev_period(l_curr_ass_action_id);
3478: FETCH csr_get_prev_period INTO l_prev_ass_act_id, l_prev_end_date;
3479: CLOSE csr_get_prev_period;
3480: --Fnd_file.put_line(FND_FILE.LOG,'Prev period End Date -- CORR_BALANCE '||fnd_date.date_to_canonical(l_prev_end_date));
3481: --Fnd_file.put_line(FND_FILE.LOG,'Prev period act_id -- CORR_BALANCE '||fnd_number.number_to_canonical(l_prev_ass_act_id));
3482: FOR l_ret_cor_period IN csr_ret_cor_period(p_actid) LOOP
3483: --Fnd_file.put_line(FND_FILE.LOG,'Calling populate_coll_bal_table -- CORR_BALANCE '||fnd_date.date_to_canonical(l_ret_cor_period.End_date));
3484: populate_coll_bal_table(p_actid => p_actid
3485: ,p_tax_unit_id => l_tax_unit_id

Line 3493: --Fnd_file.put_line(FND_FILE.LOG,'corr balance -- CORR_BALANCE '||fnd_number.number_to_canonical(l_corr_bal));

3489: ,p_ass_action_id => l_curr_ass_action_id
3490: ,p_payroll_type => l_payroll_type
3491: ,p_emp_total => l_corr_bal
3492: ,p_collXMLTable => collXMLTable);
3493: --Fnd_file.put_line(FND_FILE.LOG,'corr balance -- CORR_BALANCE '||fnd_number.number_to_canonical(l_corr_bal));
3494: IF l_prev_ass_act_id IS NOT NULL THEN
3495: populate_coll_bal_table(p_actid => p_actid
3496: ,p_tax_unit_id => l_tax_unit_id
3497: ,p_effective_date=> l_prev_end_date

Line 3505: --Fnd_file.put_line(FND_FILE.LOG,'Prev corr balance -- CORR_BALANCE '||fnd_number.number_to_canonical(l_prev_corr_bal));

3501: ,p_payroll_type => l_payroll_type
3502: ,p_emp_total => l_prev_corr_bal
3503: ,p_collXMLTable => collXMLTable);
3504: l_corr_bal := l_corr_bal - NVL(l_prev_corr_bal,0);
3505: --Fnd_file.put_line(FND_FILE.LOG,'Prev corr balance -- CORR_BALANCE '||fnd_number.number_to_canonical(l_prev_corr_bal));
3506: END IF;
3507:
3508: --Fnd_file.put_line(FND_FILE.LOG,' Checking Sector Risk Group Information');
3509: IF g_public_org_flag = 'N' THEN

Line 3547: , p_action_information6 => fnd_number.number_to_canonical(ROUND(l_corr_bal)));

3543: , p_action_information2 => 'Saldo'
3544: , p_action_information3 => fnd_date.date_to_canonical(l_ret_cor_period.start_date)
3545: , p_action_information4 => fnd_date.date_to_canonical(l_ret_cor_period.End_date)
3546: , p_action_information5 => HR_GENERAL.decode_lookup('NL_FORM_LABELS',UPPER('Saldo'))
3547: , p_action_information6 => fnd_number.number_to_canonical(ROUND(l_corr_bal)));
3548: END LOOP;
3549: -- Employer general total
3550: --Fnd_file.put_line(FND_FILE.LOG,'Archiving NL_WR_COLLECTIVE_REPORT - TOTAL ');
3551: pay_action_information_api.create_action_information

Line 3567: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(l_emp_total)));

3563: ,p_action_information2 => 'TotGen' -- TAG NAME
3564: ,p_action_information3 => l_period_dates_rec.start_date
3565: ,p_action_information4 => l_period_dates_rec.End_date
3566: ,p_action_information5 => HR_GENERAL.decode_lookup('NL_FORM_LABELS',UPPER('TotGen')) -- TAG DESCRIPTION
3567: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(l_emp_total)));
3568: ELSE
3569: --Fnd_file.put_line(FND_FILE.LOG,' ELSE (Correction Period) -- CORRECTION ');
3570: OPEN csr_payroll_get_action_id2(p_actid
3571: ,fnd_date.canonical_to_date(l_period_dates_rec.start_date)

Line 3618: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))

3614: , p_action_information5 => l_period_dates_rec.start_date
3615: , p_action_information6 => l_period_dates_rec.End_date
3616: , p_action_information7 => substr(l_sip_sector,1,3)
3617: , p_action_information8 => substr(l_risk_grp,1,2)
3618: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3619: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd)));
3620: l_sip_sector := l_get_sect_risk_grp.sector;
3621: l_risk_grp := l_get_sect_risk_grp.risk_group;
3622: l_emp_total := l_emp_total + l_con_mon_fd;

Line 3619: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd)));

3615: , p_action_information6 => l_period_dates_rec.End_date
3616: , p_action_information7 => substr(l_sip_sector,1,3)
3617: , p_action_information8 => substr(l_risk_grp,1,2)
3618: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3619: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd)));
3620: l_sip_sector := l_get_sect_risk_grp.sector;
3621: l_risk_grp := l_get_sect_risk_grp.risk_group;
3622: l_emp_total := l_emp_total + l_con_mon_fd;
3623: l_sector_flag := 'Y';

Line 3664: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))

3660: , p_action_information5 => l_period_dates_rec.start_date
3661: , p_action_information6 => l_period_dates_rec.End_date
3662: , p_action_information7 => substr(l_sip_sector,1,3)
3663: , p_action_information8 => substr(l_risk_grp,1,2)
3664: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3665: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd)));
3666: --l_sip_sector := l_get_sect_risk_grp.sector;
3667: --l_risk_grp := l_get_sect_risk_grp.risk_group;
3668: l_emp_total := l_emp_total + l_con_mon_fd;

Line 3665: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd)));

3661: , p_action_information6 => l_period_dates_rec.End_date
3662: , p_action_information7 => substr(l_sip_sector,1,3)
3663: , p_action_information8 => substr(l_risk_grp,1,2)
3664: , p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
3665: , p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd)));
3666: --l_sip_sector := l_get_sect_risk_grp.sector;
3667: --l_risk_grp := l_get_sect_risk_grp.risk_group;
3668: l_emp_total := l_emp_total + l_con_mon_fd;
3669: l_sector_flag := 'Y';

Line 3701: , p_action_information6 => fnd_number.number_to_canonical(ROUND(NVL(l_val,collXMLTable(i).TagValue))));

3697: , p_action_information2 => collXMLTable(i).TagName
3698: , p_action_information3 => l_period_dates_rec.start_date
3699: , p_action_information4 => l_period_dates_rec.End_date
3700: , p_action_information5 => collXMLTable(i).TagDesc
3701: , p_action_information6 => fnd_number.number_to_canonical(ROUND(NVL(l_val,collXMLTable(i).TagValue))));
3702: IF collXMLTable(i).TagName = 'PrLnAWF' AND collXMLTable(i).TagValue <> 0 THEN
3703: l_awf := 'Y';
3704: END IF;
3705: END IF;

Line 4059: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4055: IF csr_collective_info_rec.action_information1 = 'COMPLETE' THEN
4056: --
4057: IF csr_collective_info_rec.action_information2 = 'TotLnLbPh' THEN
4058: collXMLTable(1).Tagvalue:= NVL(collXMLTable(1).Tagvalue,0)
4059: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4060: ELSIF csr_collective_info_rec.action_information2 = 'TotLnSV' THEN
4061: collXMLTable(2).Tagvalue:= NVL(collXMLTable(2).Tagvalue,0)
4062: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4063: ELSIF csr_collective_info_rec.action_information2 = 'PrLnWAOAof' THEN

Line 4062: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4058: collXMLTable(1).Tagvalue:= NVL(collXMLTable(1).Tagvalue,0)
4059: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4060: ELSIF csr_collective_info_rec.action_information2 = 'TotLnSV' THEN
4061: collXMLTable(2).Tagvalue:= NVL(collXMLTable(2).Tagvalue,0)
4062: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4063: ELSIF csr_collective_info_rec.action_information2 = 'PrLnWAOAof' THEN
4064: collXMLTable(3).Tagvalue:= NVL(collXMLTable(3).Tagvalue,0)
4065: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4066: ELSIF csr_collective_info_rec.action_information2 = 'PrLnWAOAok' THEN

Line 4065: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4061: collXMLTable(2).Tagvalue:= NVL(collXMLTable(2).Tagvalue,0)
4062: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4063: ELSIF csr_collective_info_rec.action_information2 = 'PrLnWAOAof' THEN
4064: collXMLTable(3).Tagvalue:= NVL(collXMLTable(3).Tagvalue,0)
4065: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4066: ELSIF csr_collective_info_rec.action_information2 = 'PrLnWAOAok' THEN
4067: collXMLTable(4).Tagvalue:= NVL(collXMLTable(4).Tagvalue,0)
4068: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4069: ELSIF csr_collective_info_rec.action_information2 = 'PrLnAWF' THEN

Line 4068: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4064: collXMLTable(3).Tagvalue:= NVL(collXMLTable(3).Tagvalue,0)
4065: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4066: ELSIF csr_collective_info_rec.action_information2 = 'PrLnWAOAok' THEN
4067: collXMLTable(4).Tagvalue:= NVL(collXMLTable(4).Tagvalue,0)
4068: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4069: ELSIF csr_collective_info_rec.action_information2 = 'PrLnAWF' THEN
4070: collXMLTable(5).Tagvalue:= NVL(collXMLTable(5).Tagvalue,0)
4071: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4072: ELSIF csr_collective_info_rec.action_information2 = 'PrLnUFO' THEN

Line 4071: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4067: collXMLTable(4).Tagvalue:= NVL(collXMLTable(4).Tagvalue,0)
4068: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4069: ELSIF csr_collective_info_rec.action_information2 = 'PrLnAWF' THEN
4070: collXMLTable(5).Tagvalue:= NVL(collXMLTable(5).Tagvalue,0)
4071: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4072: ELSIF csr_collective_info_rec.action_information2 = 'PrLnUFO' THEN
4073: collXMLTable(6).Tagvalue:= NVL(collXMLTable(6).Tagvalue,0)
4074: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4075: ELSIF csr_collective_info_rec.action_information2 = 'TotVergZvw' THEN

Line 4074: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4070: collXMLTable(5).Tagvalue:= NVL(collXMLTable(5).Tagvalue,0)
4071: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4072: ELSIF csr_collective_info_rec.action_information2 = 'PrLnUFO' THEN
4073: collXMLTable(6).Tagvalue:= NVL(collXMLTable(6).Tagvalue,0)
4074: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4075: ELSIF csr_collective_info_rec.action_information2 = 'TotVergZvw' THEN
4076: collXMLTable(7).Tagvalue:= NVL(collXMLTable(7).Tagvalue,0)
4077: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4078: ELSIF csr_collective_info_rec.action_information2 = 'IngLbPh' THEN

Line 4077: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4073: collXMLTable(6).Tagvalue:= NVL(collXMLTable(6).Tagvalue,0)
4074: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4075: ELSIF csr_collective_info_rec.action_information2 = 'TotVergZvw' THEN
4076: collXMLTable(7).Tagvalue:= NVL(collXMLTable(7).Tagvalue,0)
4077: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4078: ELSIF csr_collective_info_rec.action_information2 = 'IngLbPh' THEN
4079: collXMLTable(8).Tagvalue:= NVL(collXMLTable(8).Tagvalue,0)
4080: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4081: ELSIF csr_collective_info_rec.action_information2 = 'EHPubUitk' THEN

Line 4080: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4076: collXMLTable(7).Tagvalue:= NVL(collXMLTable(7).Tagvalue,0)
4077: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4078: ELSIF csr_collective_info_rec.action_information2 = 'IngLbPh' THEN
4079: collXMLTable(8).Tagvalue:= NVL(collXMLTable(8).Tagvalue,0)
4080: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4081: ELSIF csr_collective_info_rec.action_information2 = 'EHPubUitk' THEN
4082: collXMLTable(9).Tagvalue:= NVL(collXMLTable(9).Tagvalue,0)
4083: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4084: ELSIF csr_collective_info_rec.action_information2 = 'EHLnBestKar' THEN

Line 4083: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4079: collXMLTable(8).Tagvalue:= NVL(collXMLTable(8).Tagvalue,0)
4080: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4081: ELSIF csr_collective_info_rec.action_information2 = 'EHPubUitk' THEN
4082: collXMLTable(9).Tagvalue:= NVL(collXMLTable(9).Tagvalue,0)
4083: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4084: ELSIF csr_collective_info_rec.action_information2 = 'EHLnBestKar' THEN
4085: collXMLTable(10).Tagvalue:= NVL(collXMLTable(10).Tagvalue,0)
4086: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4087: ELSIF csr_collective_info_rec.action_information2 = 'EHSpLn' THEN

Line 4086: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4082: collXMLTable(9).Tagvalue:= NVL(collXMLTable(9).Tagvalue,0)
4083: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4084: ELSIF csr_collective_info_rec.action_information2 = 'EHLnBestKar' THEN
4085: collXMLTable(10).Tagvalue:= NVL(collXMLTable(10).Tagvalue,0)
4086: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4087: ELSIF csr_collective_info_rec.action_information2 = 'EHSpLn' THEN
4088: collXMLTable(11).Tagvalue:= NVL(collXMLTable(11).Tagvalue,0)
4089: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4090: ELSIF csr_collective_info_rec.action_information2 = 'EHSpPr' THEN

Line 4089: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4085: collXMLTable(10).Tagvalue:= NVL(collXMLTable(10).Tagvalue,0)
4086: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4087: ELSIF csr_collective_info_rec.action_information2 = 'EHSpLn' THEN
4088: collXMLTable(11).Tagvalue:= NVL(collXMLTable(11).Tagvalue,0)
4089: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4090: ELSIF csr_collective_info_rec.action_information2 = 'EHSpPr' THEN
4091: collXMLTable(12).Tagvalue:= NVL(collXMLTable(12).Tagvalue,0)
4092: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4093: ELSIF csr_collective_info_rec.action_information2 = 'EHLnNat' THEN

Line 4092: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4088: collXMLTable(11).Tagvalue:= NVL(collXMLTable(11).Tagvalue,0)
4089: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4090: ELSIF csr_collective_info_rec.action_information2 = 'EHSpPr' THEN
4091: collXMLTable(12).Tagvalue:= NVL(collXMLTable(12).Tagvalue,0)
4092: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4093: ELSIF csr_collective_info_rec.action_information2 = 'EHLnNat' THEN
4094: collXMLTable(13).Tagvalue:= NVL(collXMLTable(13).Tagvalue,0)
4095: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4096: ELSIF csr_collective_info_rec.action_information2 = 'EHFeest' THEN

Line 4095: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4091: collXMLTable(12).Tagvalue:= NVL(collXMLTable(12).Tagvalue,0)
4092: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4093: ELSIF csr_collective_info_rec.action_information2 = 'EHLnNat' THEN
4094: collXMLTable(13).Tagvalue:= NVL(collXMLTable(13).Tagvalue,0)
4095: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4096: ELSIF csr_collective_info_rec.action_information2 = 'EHFeest' THEN
4097: collXMLTable(14).Tagvalue:= NVL(collXMLTable(14).Tagvalue,0)
4098: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4099: ELSIF csr_collective_info_rec.action_information2 = 'EHBmVerg' THEN

Line 4098: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4094: collXMLTable(13).Tagvalue:= NVL(collXMLTable(13).Tagvalue,0)
4095: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4096: ELSIF csr_collective_info_rec.action_information2 = 'EHFeest' THEN
4097: collXMLTable(14).Tagvalue:= NVL(collXMLTable(14).Tagvalue,0)
4098: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4099: ELSIF csr_collective_info_rec.action_information2 = 'EHBmVerg' THEN
4100: collXMLTable(15).Tagvalue:= NVL(collXMLTable(15).Tagvalue,0)
4101: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4102: ELSIF csr_collective_info_rec.action_information2 = 'EHGebrAuto' AND l_end_date >= TO_DATE('01012007','DDMMYYYY') THEN -- EHGebrAuto

Line 4101: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4097: collXMLTable(14).Tagvalue:= NVL(collXMLTable(14).Tagvalue,0)
4098: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4099: ELSIF csr_collective_info_rec.action_information2 = 'EHBmVerg' THEN
4100: collXMLTable(15).Tagvalue:= NVL(collXMLTable(15).Tagvalue,0)
4101: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4102: ELSIF csr_collective_info_rec.action_information2 = 'EHGebrAuto' AND l_end_date >= TO_DATE('01012007','DDMMYYYY') THEN -- EHGebrAuto
4103: collXMLTable(16).Tagvalue:= NVL(collXMLTable(16).Tagvalue,0)
4104: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4105: ELSIF csr_collective_info_rec.action_information2 = 'EHVUT' THEN

Line 4104: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4100: collXMLTable(15).Tagvalue:= NVL(collXMLTable(15).Tagvalue,0)
4101: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4102: ELSIF csr_collective_info_rec.action_information2 = 'EHGebrAuto' AND l_end_date >= TO_DATE('01012007','DDMMYYYY') THEN -- EHGebrAuto
4103: collXMLTable(16).Tagvalue:= NVL(collXMLTable(16).Tagvalue,0)
4104: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4105: ELSIF csr_collective_info_rec.action_information2 = 'EHVUT' THEN
4106: collXMLTable(17).Tagvalue:= NVL(collXMLTable(17).Tagvalue,0)
4107: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4108: ELSIF csr_collective_info_rec.action_information2 = 'AVBetOV' AND l_end_date < TO_DATE('01012007','DDMMYYYY') THEN

Line 4107: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4103: collXMLTable(16).Tagvalue:= NVL(collXMLTable(16).Tagvalue,0)
4104: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4105: ELSIF csr_collective_info_rec.action_information2 = 'EHVUT' THEN
4106: collXMLTable(17).Tagvalue:= NVL(collXMLTable(17).Tagvalue,0)
4107: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4108: ELSIF csr_collective_info_rec.action_information2 = 'AVBetOV' AND l_end_date < TO_DATE('01012007','DDMMYYYY') THEN
4109: collXMLTable(18).Tagvalue:= NVL(collXMLTable(18).Tagvalue,0)
4110: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4111: ELSIF csr_collective_info_rec.action_information2 = 'AVLgdWerkl' AND l_end_date < TO_DATE('01012007','DDMMYYYY') THEN

Line 4110: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4106: collXMLTable(17).Tagvalue:= NVL(collXMLTable(17).Tagvalue,0)
4107: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4108: ELSIF csr_collective_info_rec.action_information2 = 'AVBetOV' AND l_end_date < TO_DATE('01012007','DDMMYYYY') THEN
4109: collXMLTable(18).Tagvalue:= NVL(collXMLTable(18).Tagvalue,0)
4110: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4111: ELSIF csr_collective_info_rec.action_information2 = 'AVLgdWerkl' AND l_end_date < TO_DATE('01012007','DDMMYYYY') THEN
4112: collXMLTable(19).Tagvalue:= NVL(collXMLTable(19).Tagvalue,0)
4113: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4114: ELSIF csr_collective_info_rec.action_information2 = 'AVArboNP' THEN

Line 4113: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4109: collXMLTable(18).Tagvalue:= NVL(collXMLTable(18).Tagvalue,0)
4110: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4111: ELSIF csr_collective_info_rec.action_information2 = 'AVLgdWerkl' AND l_end_date < TO_DATE('01012007','DDMMYYYY') THEN
4112: collXMLTable(19).Tagvalue:= NVL(collXMLTable(19).Tagvalue,0)
4113: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4114: ELSIF csr_collective_info_rec.action_information2 = 'AVArboNP' THEN
4115: collXMLTable(20).Tagvalue:= NVL(collXMLTable(20).Tagvalue,0)
4116: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4117: ELSIF csr_collective_info_rec.action_information2 = 'AVZeev' THEN

Line 4116: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4112: collXMLTable(19).Tagvalue:= NVL(collXMLTable(19).Tagvalue,0)
4113: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4114: ELSIF csr_collective_info_rec.action_information2 = 'AVArboNP' THEN
4115: collXMLTable(20).Tagvalue:= NVL(collXMLTable(20).Tagvalue,0)
4116: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4117: ELSIF csr_collective_info_rec.action_information2 = 'AVZeev' THEN
4118: collXMLTable(21).Tagvalue:= NVL(collXMLTable(21).Tagvalue,0)
4119: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4120: ELSIF csr_collective_info_rec.action_information2 = 'AVOnd' THEN

Line 4119: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4115: collXMLTable(20).Tagvalue:= NVL(collXMLTable(20).Tagvalue,0)
4116: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4117: ELSIF csr_collective_info_rec.action_information2 = 'AVZeev' THEN
4118: collXMLTable(21).Tagvalue:= NVL(collXMLTable(21).Tagvalue,0)
4119: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4120: ELSIF csr_collective_info_rec.action_information2 = 'AVOnd' THEN
4121: collXMLTable(22).Tagvalue:= NVL(collXMLTable(22).Tagvalue,0)
4122: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4123: ELSIF csr_collective_info_rec.action_information2 = 'VrlAVSO' THEN

Line 4122: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4118: collXMLTable(21).Tagvalue:= NVL(collXMLTable(21).Tagvalue,0)
4119: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4120: ELSIF csr_collective_info_rec.action_information2 = 'AVOnd' THEN
4121: collXMLTable(22).Tagvalue:= NVL(collXMLTable(22).Tagvalue,0)
4122: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4123: ELSIF csr_collective_info_rec.action_information2 = 'VrlAVSO' THEN
4124: collXMLTable(23).Tagvalue:= NVL(collXMLTable(23).Tagvalue,0)
4125: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4126: ELSIF csr_collective_info_rec.action_information2 = 'PrWAOAof' THEN

Line 4125: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4121: collXMLTable(22).Tagvalue:= NVL(collXMLTable(22).Tagvalue,0)
4122: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4123: ELSIF csr_collective_info_rec.action_information2 = 'VrlAVSO' THEN
4124: collXMLTable(23).Tagvalue:= NVL(collXMLTable(23).Tagvalue,0)
4125: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4126: ELSIF csr_collective_info_rec.action_information2 = 'PrWAOAof' THEN
4127: collXMLTable(24).Tagvalue:= NVL(collXMLTable(24).Tagvalue,0)
4128: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4129: ELSIF csr_collective_info_rec.action_information2 = 'PrWAOAok' THEN

Line 4128: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4124: collXMLTable(23).Tagvalue:= NVL(collXMLTable(23).Tagvalue,0)
4125: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4126: ELSIF csr_collective_info_rec.action_information2 = 'PrWAOAof' THEN
4127: collXMLTable(24).Tagvalue:= NVL(collXMLTable(24).Tagvalue,0)
4128: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4129: ELSIF csr_collective_info_rec.action_information2 = 'PrWAOAok' THEN
4130: collXMLTable(25).Tagvalue:= NVL(collXMLTable(25).Tagvalue,0)
4131: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4132: ELSIF csr_collective_info_rec.action_information2 = 'PrAWF' THEN

Line 4131: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4127: collXMLTable(24).Tagvalue:= NVL(collXMLTable(24).Tagvalue,0)
4128: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4129: ELSIF csr_collective_info_rec.action_information2 = 'PrWAOAok' THEN
4130: collXMLTable(25).Tagvalue:= NVL(collXMLTable(25).Tagvalue,0)
4131: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4132: ELSIF csr_collective_info_rec.action_information2 = 'PrAWF' THEN
4133: collXMLTable(26).Tagvalue:= NVL(collXMLTable(26).Tagvalue,0)
4134: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4135: ELSIF csr_collective_info_rec.action_information2 = 'PrUFO' THEN

Line 4134: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4130: collXMLTable(25).Tagvalue:= NVL(collXMLTable(25).Tagvalue,0)
4131: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4132: ELSIF csr_collective_info_rec.action_information2 = 'PrAWF' THEN
4133: collXMLTable(26).Tagvalue:= NVL(collXMLTable(26).Tagvalue,0)
4134: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4135: ELSIF csr_collective_info_rec.action_information2 = 'PrUFO' THEN
4136: collXMLTable(27).Tagvalue:= NVL(collXMLTable(27).Tagvalue,0)
4137: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4138: ELSIF csr_collective_info_rec.action_information2 = 'IngBijdrZvw' THEN

Line 4137: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4133: collXMLTable(26).Tagvalue:= NVL(collXMLTable(26).Tagvalue,0)
4134: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4135: ELSIF csr_collective_info_rec.action_information2 = 'PrUFO' THEN
4136: collXMLTable(27).Tagvalue:= NVL(collXMLTable(27).Tagvalue,0)
4137: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4138: ELSIF csr_collective_info_rec.action_information2 = 'IngBijdrZvw' THEN
4139: collXMLTable(28).Tagvalue:= NVL(collXMLTable(28).Tagvalue,0)
4140: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4141: ELSIF csr_collective_info_rec.action_information2 = 'AGHKort' THEN

Line 4140: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4136: collXMLTable(27).Tagvalue:= NVL(collXMLTable(27).Tagvalue,0)
4137: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4138: ELSIF csr_collective_info_rec.action_information2 = 'IngBijdrZvw' THEN
4139: collXMLTable(28).Tagvalue:= NVL(collXMLTable(28).Tagvalue,0)
4140: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4141: ELSIF csr_collective_info_rec.action_information2 = 'AGHKort' THEN
4142: collXMLTable(29).Tagvalue:= NVL(collXMLTable(29).Tagvalue,0)
4143: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4144: ELSIF csr_collective_info_rec.action_information2 = 'TotTeBet' THEN

Line 4143: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4139: collXMLTable(28).Tagvalue:= NVL(collXMLTable(28).Tagvalue,0)
4140: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4141: ELSIF csr_collective_info_rec.action_information2 = 'AGHKort' THEN
4142: collXMLTable(29).Tagvalue:= NVL(collXMLTable(29).Tagvalue,0)
4143: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4144: ELSIF csr_collective_info_rec.action_information2 = 'TotTeBet' THEN
4145: collXMLTable(30).Tagvalue:= NVL(collXMLTable(30).Tagvalue,0)
4146: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4147: END IF;

Line 4146: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4142: collXMLTable(29).Tagvalue:= NVL(collXMLTable(29).Tagvalue,0)
4143: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4144: ELSIF csr_collective_info_rec.action_information2 = 'TotTeBet' THEN
4145: collXMLTable(30).Tagvalue:= NVL(collXMLTable(30).Tagvalue,0)
4146: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4147: END IF;
4148: --
4149: ELSIF csr_collective_info_rec.action_information1 = 'TOTAL' THEN
4150: l_emp_total := NVL(l_emp_total,0)

Line 4151: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);

4147: END IF;
4148: --
4149: ELSIF csr_collective_info_rec.action_information1 = 'TOTAL' THEN
4150: l_emp_total := NVL(l_emp_total,0)
4151: + fnd_number.canonical_to_number(csr_collective_info_rec.action_information6);
4152: END IF;
4153: --
4154: END LOOP;
4155: --

Line 4183: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))

4179: ,p_action_information5 => fnd_date.date_to_canonical(l_start_date)
4180: ,p_action_information6 => fnd_date.date_to_canonical(l_end_date)
4181: ,p_action_information7 => l_sector
4182: ,p_action_information8 => l_risk_group
4183: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
4184: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));
4185: END LOOP;
4186: --
4187: FOR i IN collXMLTable.FIRST..collXMLTable.LAST LOOP

Line 4184: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));

4180: ,p_action_information6 => fnd_date.date_to_canonical(l_end_date)
4181: ,p_action_information7 => l_sector
4182: ,p_action_information8 => l_risk_group
4183: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(l_c_base_mon_fd))
4184: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(l_con_mon_fd )));
4185: END LOOP;
4186: --
4187: FOR i IN collXMLTable.FIRST..collXMLTable.LAST LOOP
4188: IF collXMLTable(i).Mandatory = 'Y' or collXMLTable(i).TagValue <> 0 THEN

Line 4205: , p_action_information6 => fnd_number.number_to_canonical(ROUND(collXMLTable(i).TagValue)));

4201: , p_action_information2 => collXMLTable(i).TagName
4202: , p_action_information3 => fnd_date.date_to_canonical(l_start_date)
4203: , p_action_information4 => fnd_date.date_to_canonical(l_end_date)
4204: , p_action_information5 => collXMLTable(i).TagDesc
4205: , p_action_information6 => fnd_number.number_to_canonical(ROUND(collXMLTable(i).TagValue)));
4206: END IF;
4207: END LOOP;
4208: --
4209: pay_action_information_api.create_action_information

Line 4225: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(l_emp_total)));

4221: ,p_action_information2 => 'TotGen' -- TAG NAME
4222: ,p_action_information3 => fnd_date.date_to_canonical(l_start_date)
4223: ,p_action_information4 => fnd_date.date_to_canonical(l_end_date)
4224: ,p_action_information5 => HR_GENERAL.decode_lookup('NL_FORM_LABELS',UPPER('TotGen')) -- TAG DESCRIPTION
4225: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(l_emp_total)));
4226: --
4227: END IF;
4228: --# Exception Report
4229: FND_FILE.PUT_LINE(fnd_file.output,rpad('-',80,'-') || rpad(hr_general.decode_lookup('NL_FORM_LABELS','WR_EXCEPTION_REPORT'),20,' ') || rpad('-',80,'-'));

Line 5386: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(1).balance_value)

5382: , p_action_information_category => 'NL_WR_NOMINATIVE_REPORT'
5383: , p_tax_unit_id => p_tax_unit_id
5384: , p_action_information1 => p_type
5385: , p_action_information2 => p_master_action_info_id
5386: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(1).balance_value)
5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)
5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report

Line 5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)

5383: , p_tax_unit_id => p_tax_unit_id
5384: , p_action_information1 => p_type
5385: , p_action_information2 => p_master_action_info_id
5386: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(1).balance_value)
5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)
5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report

Line 5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'

5384: , p_action_information1 => p_type
5385: , p_action_information2 => p_master_action_info_id
5386: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(1).balance_value)
5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)
5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report

Line 5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report

5385: , p_action_information2 => p_master_action_info_id
5386: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(1).balance_value)
5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)
5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report

Line 5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report

5386: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(1).balance_value)
5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)
5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report

Line 5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report

5387: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(2).balance_value)
5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report

Line 5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report

5388: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(3).balance_value) -- 'SP RATE TAXABLE INCOME'
5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'

Line 5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report

5389: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(4).balance_value) -- 'HOLIDAY ALLW' ** Not req for YEarly report
5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'

Line 5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report

5390: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(5).balance_value) -- 'RESERVATION HOLIDAY ALLW' ** Not req for YEarly report
5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'

Line 5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report

5391: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(6).balance_value) -- 'ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'

Line 5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'

5392: , p_action_information11 => fnd_number.number_to_canonical(p_nom_bal_value(7).balance_value) -- 'R ADDITIONAL PERIOD WAGE' ** Not req for YEarly report
5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'

Line 5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'

5393: , p_action_information12 => fnd_number.number_to_canonical(p_nom_bal_value(8).balance_value) -- 'WAGE_MONEY' ** Not req for YEarly report
5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'

Line 5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'

5394: , p_action_information13 => fnd_number.number_to_canonical(p_nom_bal_value(9).balance_value) -- 'WAGE_KIND' ** Not req for YEarly report
5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'

Line 5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'

5395: , p_action_information14 => fnd_number.number_to_canonical(p_nom_bal_value(10).balance_value) -- 'OT_WAGE' ** Not req for YEarly report
5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'

Line 5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'

5396: , p_action_information15 => fnd_number.number_to_canonical(p_nom_bal_value(11).balance_value) -- 'WAGE_TAX'
5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'

Line 5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'

5397: , p_action_information16 => fnd_number.number_to_canonical(p_nom_bal_value(12).balance_value) -- 'WAO_CONTRBUTION_AOF'
5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'

Line 5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'

5398: , p_action_information17 => fnd_number.number_to_canonical(p_nom_bal_value(13).balance_value) -- 'WAO_CONTRBUTION_AOK'
5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report

Line 5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'

5399: , p_action_information18 => fnd_number.number_to_canonical(p_nom_bal_value(14).balance_value) -- 'WW_AWF'
5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report

Line 5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'

5400: , p_action_information19 => fnd_number.number_to_canonical(p_nom_bal_value(15).balance_value) -- 'c_WAITING_MONEY_FUND'
5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'

Line 5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'

5401: , p_action_information20 => fnd_number.number_to_canonical(p_nom_bal_value(16).balance_value) -- 'UFO_CONTRIBUTION'
5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'
5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'

Line 5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report

5402: , p_action_information21 => fnd_number.number_to_canonical(p_nom_bal_value(17).balance_value) -- 'ZVW_CONTRIBUTION'
5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'
5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'
5410: , p_action_information29 => fnd_number.number_to_canonical(p_nom_bal_value(25).balance_value)); -- 'DIRECTLY_PAID_ALIMONY');

Line 5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report

5403: , p_action_information22 => fnd_number.number_to_canonical(p_nom_bal_value(18).balance_value) -- 'ZVW_ALLW'
5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'
5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'
5410: , p_action_information29 => fnd_number.number_to_canonical(p_nom_bal_value(25).balance_value)); -- 'DIRECTLY_PAID_ALIMONY');
5411: --

Line 5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'

5404: , p_action_information23 => fnd_number.number_to_canonical(p_nom_bal_value(19).balance_value) -- 'TRAVEL ALLW'
5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'
5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'
5410: , p_action_information29 => fnd_number.number_to_canonical(p_nom_bal_value(25).balance_value)); -- 'DIRECTLY_PAID_ALIMONY');
5411: --
5412: pay_action_information_api.create_action_information (

Line 5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'

5405: , p_action_information24 => fnd_number.number_to_canonical(p_nom_bal_value(20).balance_value) -- 'LABOUR DISC'
5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'
5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'
5410: , p_action_information29 => fnd_number.number_to_canonical(p_nom_bal_value(25).balance_value)); -- 'DIRECTLY_PAID_ALIMONY');
5411: --
5412: pay_action_information_api.create_action_information (
5413: p_action_information_id => l_action_info_id

Line 5410: , p_action_information29 => fnd_number.number_to_canonical(p_nom_bal_value(25).balance_value)); -- 'DIRECTLY_PAID_ALIMONY');

5406: , p_action_information25 => fnd_number.number_to_canonical(p_nom_bal_value(21).balance_value) -- 'SI_DAYS' ** Not req for YEarly report
5407: , p_action_information26 => fnd_number.number_to_canonical(p_nom_bal_value(22).balance_value) -- 'NO_HOURS' ** Not req for YEarly report
5408: , p_action_information27 => fnd_number.number_to_canonical(p_nom_bal_value(23).balance_value) -- 'AMOUNT_SEE_DISCONT_DAYS'
5409: , p_action_information28 => fnd_number.number_to_canonical(p_nom_bal_value(24).balance_value) -- 'WWB-ALL_ALIMONY'
5410: , p_action_information29 => fnd_number.number_to_canonical(p_nom_bal_value(25).balance_value)); -- 'DIRECTLY_PAID_ALIMONY');
5411: --
5412: pay_action_information_api.create_action_information (
5413: p_action_information_id => l_action_info_id
5414: , p_action_context_id => p_assactid

Line 5423: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(26).balance_value) -- 'Private Company Car'

5419: , p_action_information_category => 'NL_WR_NOMINATIVE_REPORT_ADD'
5420: , p_tax_unit_id => p_tax_unit_id
5421: , p_action_information1 => p_type
5422: , p_action_information2 => p_master_action_info_id
5423: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(26).balance_value) -- 'Private Company Car'
5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'
5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report
5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'
5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report

Line 5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'

5420: , p_tax_unit_id => p_tax_unit_id
5421: , p_action_information1 => p_type
5422: , p_action_information2 => p_master_action_info_id
5423: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(26).balance_value) -- 'Private Company Car'
5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'
5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report
5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'
5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report
5428: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(31).balance_value)

Line 5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report

5421: , p_action_information1 => p_type
5422: , p_action_information2 => p_master_action_info_id
5423: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(26).balance_value) -- 'Private Company Car'
5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'
5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report
5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'
5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report
5428: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(31).balance_value)
5429: , p_action_information11 => NVL(p_corr_used,'N')); -- 'Allowance paid on top of paid disability' ** Not req for YEarly report

Line 5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'

5422: , p_action_information2 => p_master_action_info_id
5423: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(26).balance_value) -- 'Private Company Car'
5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'
5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report
5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'
5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report
5428: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(31).balance_value)
5429: , p_action_information11 => NVL(p_corr_used,'N')); -- 'Allowance paid on top of paid disability' ** Not req for YEarly report
5430: --

Line 5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report

5423: , p_action_information5 => fnd_number.number_to_canonical(p_nom_bal_value(26).balance_value) -- 'Private Company Car'
5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'
5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report
5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'
5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report
5428: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(31).balance_value)
5429: , p_action_information11 => NVL(p_corr_used,'N')); -- 'Allowance paid on top of paid disability' ** Not req for YEarly report
5430: --
5431: END archive_nominative_data;

Line 5428: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(31).balance_value)

5424: , p_action_information6 => fnd_number.number_to_canonical(p_nom_bal_value(27).balance_value) -- 'Employee Private Company Car'
5425: , p_action_information7 => fnd_number.number_to_canonical(p_nom_bal_value(28).balance_value) -- 'Contribution Child Care' ** Not req for YEarly report
5426: , p_action_information8 => fnd_number.number_to_canonical(p_nom_bal_value(29).balance_value) -- 'Life Saving Scheme'
5427: , p_action_information9 => fnd_number.number_to_canonical(p_nom_bal_value(30).balance_value) -- 'Applied Amount of Life Cycle Leave Discount' ** Not req for YEarly report
5428: , p_action_information10 => fnd_number.number_to_canonical(p_nom_bal_value(31).balance_value)
5429: , p_action_information11 => NVL(p_corr_used,'N')); -- 'Allowance paid on top of paid disability' ** Not req for YEarly report
5430: --
5431: END archive_nominative_data;
5432: --------------------------------------------------------------------------------

Line 7959: AND pai.action_information2 = fnd_number.number_to_canonical(c_actinfid)

7955: ,c_actinfid NUMBER) IS
7956: SELECT pai.*
7957: FROM pay_action_information pai
7958: WHERE pai.action_context_type = 'AAP'
7959: AND pai.action_information2 = fnd_number.number_to_canonical(c_actinfid)
7960: AND pai.action_information_category = c_category
7961: AND pai.action_information1 = c_type ;
7962: --
7963: CURSOR csr_address_info(c_category VARCHAR2

Line 7970: AND pai.action_information27 = fnd_number.number_to_canonical(c_actinfid)

7966: ,c_action_context_id NUMBER) IS
7967: SELECT pai.*
7968: FROM pay_action_information pai
7969: WHERE pai.action_context_type = 'AAP'
7970: AND pai.action_information27 = fnd_number.number_to_canonical(c_actinfid)
7971: AND pai.action_context_id = c_action_context_id
7972: AND pai.action_information_category = c_category
7973: AND pai.action_information26 = c_type ;
7974: --

Line 7978: select sum(fnd_number.canonical_to_number(pai.action_information5)) sum5

7974: --
7975: CURSOR csr_nominative_info(c_category VARCHAR2
7976: ,c_type VARCHAR2
7977: ,c_assactid NUMBER) IS
7978: select sum(fnd_number.canonical_to_number(pai.action_information5)) sum5
7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6
7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9

Line 7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6

7975: CURSOR csr_nominative_info(c_category VARCHAR2
7976: ,c_type VARCHAR2
7977: ,c_assactid NUMBER) IS
7978: select sum(fnd_number.canonical_to_number(pai.action_information5)) sum5
7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6
7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10

Line 7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7

7976: ,c_type VARCHAR2
7977: ,c_assactid NUMBER) IS
7978: select sum(fnd_number.canonical_to_number(pai.action_information5)) sum5
7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6
7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11

Line 7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8

7977: ,c_assactid NUMBER) IS
7978: select sum(fnd_number.canonical_to_number(pai.action_information5)) sum5
7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6
7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12

Line 7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9

7978: select sum(fnd_number.canonical_to_number(pai.action_information5)) sum5
7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6
7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13

Line 7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10

7979: ,sum(fnd_number.canonical_to_number(pai.action_information6)) sum6
7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14

Line 7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11

7980: ,sum(fnd_number.canonical_to_number(pai.action_information7)) sum7
7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15

Line 7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12

7981: ,sum(fnd_number.canonical_to_number(pai.action_information8)) sum8
7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16

Line 7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13

7982: ,sum(fnd_number.canonical_to_number(pai.action_information9)) sum9
7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17

Line 7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14

7983: ,sum(fnd_number.canonical_to_number(pai.action_information10)) sum10
7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18

Line 7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15

7984: ,sum(fnd_number.canonical_to_number(pai.action_information11)) sum11
7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19

Line 7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16

7985: ,sum(fnd_number.canonical_to_number(pai.action_information12)) sum12
7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20

Line 7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17

7986: ,sum(fnd_number.canonical_to_number(pai.action_information13)) sum13
7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21

Line 7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18

7987: ,sum(fnd_number.canonical_to_number(pai.action_information14)) sum14
7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22

Line 7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19

7988: ,sum(fnd_number.canonical_to_number(pai.action_information15)) sum15
7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23

Line 7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20

7989: ,sum(fnd_number.canonical_to_number(pai.action_information16)) sum16
7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24

Line 7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21

7990: ,sum(fnd_number.canonical_to_number(pai.action_information17)) sum17
7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25

Line 7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22

7991: ,sum(fnd_number.canonical_to_number(pai.action_information18)) sum18
7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26

Line 7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23

7992: ,sum(fnd_number.canonical_to_number(pai.action_information19)) sum19
7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27

Line 7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24

7993: ,sum(fnd_number.canonical_to_number(pai.action_information20)) sum20
7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27
8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28

Line 7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25

7994: ,sum(fnd_number.canonical_to_number(pai.action_information21)) sum21
7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27
8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28
8002: ,sum(fnd_number.canonical_to_number(pai.action_information29)) sum29

Line 7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26

7995: ,sum(fnd_number.canonical_to_number(pai.action_information22)) sum22
7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27
8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28
8002: ,sum(fnd_number.canonical_to_number(pai.action_information29)) sum29
8003: from pay_action_interlocks pal

Line 8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27

7996: ,sum(fnd_number.canonical_to_number(pai.action_information23)) sum23
7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27
8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28
8002: ,sum(fnd_number.canonical_to_number(pai.action_information29)) sum29
8003: from pay_action_interlocks pal
8004: ,pay_action_information pai

Line 8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28

7997: ,sum(fnd_number.canonical_to_number(pai.action_information24)) sum24
7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27
8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28
8002: ,sum(fnd_number.canonical_to_number(pai.action_information29)) sum29
8003: from pay_action_interlocks pal
8004: ,pay_action_information pai
8005: where pal.locking_action_id = c_assactid

Line 8002: ,sum(fnd_number.canonical_to_number(pai.action_information29)) sum29

7998: ,sum(fnd_number.canonical_to_number(pai.action_information25)) sum25
7999: ,sum(fnd_number.canonical_to_number(pai.action_information26)) sum26
8000: ,sum(fnd_number.canonical_to_number(pai.action_information27)) sum27
8001: ,sum(fnd_number.canonical_to_number(pai.action_information28)) sum28
8002: ,sum(fnd_number.canonical_to_number(pai.action_information29)) sum29
8003: from pay_action_interlocks pal
8004: ,pay_action_information pai
8005: where pal.locking_action_id = c_assactid
8006: AND pal.locked_action_id = pai.action_context_id

Line 8100: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)

8096: ,p_source_text => NULL
8097: ,p_tax_unit_id => l_tax_unit_id
8098: ,p_action_information_category => 'NL_WR_INCOME_PERIOD'
8099: ,p_action_information1 => 'INITIAL'
8100: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8101: ,p_action_information3 => csr_ip_info_rec.action_information3
8102: ,p_action_information4 => csr_ip_info_rec.action_information4
8103: ,p_action_information5 => fnd_date.date_to_canonical(l_date) --fnd_date.date_to_canonical(p_start_date)
8104: ,p_action_information6 => csr_ip_info_rec.action_information6

Line 8147: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)

8143: ,p_source_text => NULL
8144: ,p_tax_unit_id => l_tax_unit_id
8145: ,p_action_information_category => 'NL_WR_SWMF_SECTOR_RISK_GROUP'
8146: ,p_action_information1 => 'SECTOR_RISK_GROUP'
8147: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8148: ,p_action_information5 => fnd_date.date_to_canonical(l_date)--fnd_date.date_to_canonical(p_start_date)
8149: ,p_action_information6 => csr_srg_info_rec.action_information6--fnd_date.date_to_canonical(p_end_date)
8150: ,p_action_information7 => csr_srg_info_rec.action_information7
8151: ,p_action_information8 => csr_srg_info_rec.action_information8

Line 8183: ,p_action_information27 => fnd_number.number_to_canonical(l_master_action_info_id));

8179: ,p_action_information12 => csr_address_info_rec.action_information12
8180: ,p_action_information13 => csr_address_info_rec.action_information13
8181: ,p_action_information14 => csr_address_info_rec.action_information14
8182: ,p_action_information26 => 'INITIAL'
8183: ,p_action_information27 => fnd_number.number_to_canonical(l_master_action_info_id));
8184: --
8185: END LOOP;
8186: --
8187: FOR csr_nominative_info_rec IN csr_nominative_info('NL_WR_NOMINATIVE_REPORT','INITIAL',p_assactid) LOOP

Line 8202: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)

8198: ,p_source_text => NULL
8199: ,p_tax_unit_id => l_tax_unit_id
8200: ,p_action_information_category => 'NL_WR_NOMINATIVE_REPORT'
8201: ,p_action_information1 => 'INITIAL'
8202: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8203: ,p_action_information3 => NULL
8204: ,p_action_information4 => NULL
8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))

Line 8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))

8201: ,p_action_information1 => 'INITIAL'
8202: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8203: ,p_action_information3 => NULL
8204: ,p_action_information4 => NULL
8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))

Line 8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))

8202: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8203: ,p_action_information3 => NULL
8204: ,p_action_information4 => NULL
8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))

Line 8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))

8203: ,p_action_information3 => NULL
8204: ,p_action_information4 => NULL
8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))

Line 8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))

8204: ,p_action_information4 => NULL
8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))

Line 8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))

8205: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))

Line 8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))

8206: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))

Line 8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))

8207: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))

Line 8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))

8208: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))

Line 8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))

8209: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))

Line 8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))

8210: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2))
8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))

Line 8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))

8211: ,p_action_information11 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum11,2))
8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))

Line 8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))

8212: ,p_action_information12 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum12,2))
8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))

Line 8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))

8213: ,p_action_information13 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum13,2))
8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))

Line 8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))

8214: ,p_action_information14 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum14,2))
8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))

Line 8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))

8215: ,p_action_information15 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum15,2))
8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))

Line 8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))

8216: ,p_action_information16 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum16,2))
8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))

Line 8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))

8217: ,p_action_information17 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum17,2))
8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)

Line 8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))

8218: ,p_action_information18 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum18,2))
8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)

Line 8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))

8219: ,p_action_information19 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum19,2))
8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))

Line 8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))

8220: ,p_action_information20 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum20,2))
8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))
8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))

Line 8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)

8221: ,p_action_information21 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum21,2))
8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))
8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))
8229: ,p_action_information29 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum29,2)));

Line 8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)

8222: ,p_action_information22 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum22,2))
8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))
8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))
8229: ,p_action_information29 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum29,2)));
8230: --

Line 8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))

8223: ,p_action_information23 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum23,2))
8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))
8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))
8229: ,p_action_information29 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum29,2)));
8230: --
8231: END LOOP;

Line 8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))

8224: ,p_action_information24 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum24,2))
8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))
8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))
8229: ,p_action_information29 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum29,2)));
8230: --
8231: END LOOP;
8232: --

Line 8229: ,p_action_information29 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum29,2)));

8225: ,p_action_information25 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum25)
8226: ,p_action_information26 => fnd_number.number_to_canonical(csr_nominative_info_rec.sum26)
8227: ,p_action_information27 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum27,2))
8228: ,p_action_information28 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum28,2))
8229: ,p_action_information29 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum29,2)));
8230: --
8231: END LOOP;
8232: --
8233: FOR csr_nominative_info_rec IN csr_nominative_info('NL_WR_NOMINATIVE_REPORT_ADD','INITIAL',p_assactid) LOOP

Line 8248: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)

8244: ,p_source_text => NULL
8245: ,p_tax_unit_id => l_tax_unit_id
8246: ,p_action_information_category => 'NL_WR_NOMINATIVE_REPORT_ADD'
8247: ,p_action_information1 => 'INITIAL'
8248: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8249: ,p_action_information3 => NULL
8250: ,p_action_information4 => NULL
8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))

Line 8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))

8247: ,p_action_information1 => 'INITIAL'
8248: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8249: ,p_action_information3 => NULL
8250: ,p_action_information4 => NULL
8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))

Line 8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))

8248: ,p_action_information2 => fnd_number.number_to_canonical(l_master_action_info_id)
8249: ,p_action_information3 => NULL
8250: ,p_action_information4 => NULL
8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8256: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2)));

Line 8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))

8249: ,p_action_information3 => NULL
8250: ,p_action_information4 => NULL
8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8256: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2)));
8257: --

Line 8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))

8250: ,p_action_information4 => NULL
8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8256: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2)));
8257: --
8258: END LOOP;

Line 8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))

8251: ,p_action_information5 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum5,2))
8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8256: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2)));
8257: --
8258: END LOOP;
8259: --

Line 8256: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2)));

8252: ,p_action_information6 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum6,2))
8253: ,p_action_information7 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum7,2))
8254: ,p_action_information8 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum8,2))
8255: ,p_action_information9 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum9,2))
8256: ,p_action_information10 => fnd_number.number_to_canonical(ROUND(csr_nominative_info_rec.sum10,2)));
8257: --
8258: END LOOP;
8259: --
8260: END archive_consolidate;